Package: wnpp
Severity: wishlist
Owner: Daniel Gröber <d...@darkboxed.org>
X-Debbugs-Cc: debian-de...@lists.debian.org, d...@darkboxed.org

* Package name    : prjtrellis
  Version         : 1.4
  Upstream Authors: David Shah <davey1...@gmail.com>
                    William D. Jones <thor0...@comcast.net>
                    Miodrag Milanovi <mmi...@gmail.com>
                    myrtle <gate...@ds0.me>
* URL             : https://github.com/YosysHQ/prjtrellis
* License         : ISC/MIT
  Programming Lang: C++/Python
  Description     : Tools to generate Lattice ECP5 bitstreams

Project trellis supplies documentation and tools for generating the
bitstream format used by Lattice ECP5 family of FPGAs.

It has been requested[1] that nextpnr enable support for this FPGA
family so we need to package prjtrellis to do this.

[1]: https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=1028137

I need a sponsor as always. ~Victims~, eer, volunteers welcome :)

--Daniel

Reply via email to