commit:     8399ad2b82085397c59b75edfb000b2d54ad2ebd
Author:     Huang Rui <vowstar <AT> gmail <DOT> com>
AuthorDate: Mon Apr 22 02:30:47 2024 +0000
Commit:     Rui Huang <vowstar <AT> gmail <DOT> com>
CommitDate: Mon Apr 22 02:32:17 2024 +0000
URL:        https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=8399ad2b

sci-electronics/slang: disable py3.9, fix ebuild format

Signed-off-by: Huang Rui <vowstar <AT> gmail.com>

 sci-electronics/slang/slang-4.0.ebuild  |  4 ++--
 sci-electronics/slang/slang-5.0.ebuild  |  2 +-
 sci-electronics/slang/slang-9999.ebuild | 15 +++++++--------
 3 files changed, 10 insertions(+), 11 deletions(-)

diff --git a/sci-electronics/slang/slang-4.0.ebuild 
b/sci-electronics/slang/slang-4.0.ebuild
index 8cf3c6e986..6ce4a98d17 100644
--- a/sci-electronics/slang/slang-4.0.ebuild
+++ b/sci-electronics/slang/slang-4.0.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
 # Distributed under the terms of the GNU General Public License v2
 
 EAPI="8"
 
-PYTHON_COMPAT=( python3_{9..12} )
+PYTHON_COMPAT=( python3_{10..12} )
 inherit cmake python-single-r1
 
 DESCRIPTION="SystemVerilog compiler and language services"

diff --git a/sci-electronics/slang/slang-5.0.ebuild 
b/sci-electronics/slang/slang-5.0.ebuild
index 671133d46c..6bba9883c2 100644
--- a/sci-electronics/slang/slang-5.0.ebuild
+++ b/sci-electronics/slang/slang-5.0.ebuild
@@ -3,7 +3,7 @@
 
 EAPI="8"
 
-PYTHON_COMPAT=( python3_{9..12} )
+PYTHON_COMPAT=( python3_{10..12} )
 inherit cmake python-single-r1
 
 DESCRIPTION="SystemVerilog compiler and language services"

diff --git a/sci-electronics/slang/slang-9999.ebuild 
b/sci-electronics/slang/slang-9999.ebuild
index 1e128f55cd..a040c292e6 100644
--- a/sci-electronics/slang/slang-9999.ebuild
+++ b/sci-electronics/slang/slang-9999.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
 # Distributed under the terms of the GNU General Public License v2
 
 EAPI="8"
 
-PYTHON_COMPAT=( python3_{9..12} )
+PYTHON_COMPAT=( python3_{10..12} )
 inherit cmake python-single-r1
 
 DESCRIPTION="SystemVerilog compiler and language services"
@@ -29,16 +29,14 @@ RESTRICT="!test? ( test )"
 
 RDEPEND="
        ${PYTHON_DEPS}
-       >=dev-cpp/catch-3.0.1
-       >=dev-libs/libfmt-9.1.0
-       >=dev-libs/mimalloc-2.1.2
        $(python_gen_cond_dep '
                >=dev-python/pybind11-2.10[${PYTHON_USEDEP}]
        ')
 "
-
-DEPEND="
-       ${RDEPEND}
+DEPEND="${RDEPEND}"
+BDEPEND="
+       >=dev-libs/libfmt-9.1.0
+       test? ( >=dev-cpp/catch-3.0.1 )
 "
 
 src_configure() {
@@ -48,6 +46,7 @@ src_configure() {
                -D BUILD_SHARED_LIBS=ON
                -D SLANG_INCLUDE_PYLIB=$(usex python)
                -D SLANG_INCLUDE_TESTS=$(usex test)
+               -D SLANG_USE_MIMALLOC=OFF
        )
        cmake_src_configure
 }

Reply via email to