Hello,

so I think I have created a set of compile scripts for the Xilinx and the 
Altera primitives.
Should we integrate them into the GHDL installation?

Regards
    Patrick

-----------------------------------
Wissenschaftliche Hilfskraft

Technische Universität Dresden
Fakultät Informatik
Institut für Technische Informatik
Lehrstuhl VLSI-Entwurfssysteme, Diagnostik und Architektur
01062 Dresden
Tel.:   +49 351 463-38451
Fax:    +49 351 463-38324
Raum:   APB-1020
E-Mail: patrick.lehm...@tu-dresden.de
WWW:    http://vlsi-eda.inf.tu-dresden.de


-----Original Message-----
From: Ghdl-discuss [mailto:ghdl-discuss-boun...@gna.org] On Behalf Of Tristan 
Gingold
Sent: Saturday, November 14, 2015 6:28 AM
To: ghdl-discuss@gna.org
Subject: Re: [Ghdl-discuss] Compiling Altera libraries for GHDL

On 13/11/15 19:07, Lehmann, Patrick wrote:
> Hello again,
>
>>> Possible error message improvement: the instance label "i" is used twice in 
>>> the code. Once for genAltera and once for genXilinx.
>>> Is it possible to report the full instance path for the unbound object?
>>
>> Doesn't line number help ?
>
> Yes, the line number is a good indicator, but we also have some 
> modules with many and especially many times nested generate 
> statements. It's just a suggestion if it's easy to access the instance 
> path while reporting the line :)
>
> Shall I create and upload a new branch on GitHub, so you could run the script 
> by yourself?

According to the line number, the problem is on the xilinx instance, so I 
suppose this is ok to you, isn't it ?

Tristan.


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Attachment: smime.p7s
Description: S/MIME cryptographic signature

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to