You guys are correct. Installing GHDL 0.33 solved it, since those libraries are 
included in VHDL 2008.

Thank you,

João

From: diogra...@gmail.com
Date: Wed, 25 Nov 2015 06:23:21 +1300
To: ghdl-discuss@gna.org
Subject: Re: [Ghdl-discuss] Include libraries in GHDL


On 25/11/2015, at 5:33 am, João Malés <j...@ca3-uninova.org> wrote:I'm using 
GHDL to simulate some designs that I'm doing. Now, I included the float_pkg 
package to work with floats in Sigasi but when I'm simulating in GHDL it states 
that  "primary unit "float_pkg" not found in library "ieee" “.

float_package, float_generic_pkg, etc. are available as part of IEEE Std 
1076-2008 (http://standards.ieee.org/downloads/1076/1076-2008/, the zip file, 
when expanded subdirectory ieee). In general you’d have to back port them to an 
earlier revision. It could be onerous, they’d take advantage of changes to the 
standard. David Bishop used to make back ported versions available on eda.org 
for -1993, (eda.org sponsored by Mentor will be closed down shortly, See 
http://www.eda.org/fphdl/, VHDL-93 versions of the VHDL-2008 packages, try ZIP 
file of all the packages).
How can I add this new library to GHDL? Copy/paste to the GHDL>lib where we 
have two folders "v93" and "v87" doesn't seem to work.
There are both library sources and analyzed library entries. Simply dumping the 
sources in the IEEE library source doesn’t provide access. (And opens a can of 
compatibility worms).
I'm using GHDL 0.25 on Windows.
If you were to download ghdl-0.33 for Windows 
(http://sourceforge.net/projects/ghdl-updates/files/Builds/ghdl-0.33/, the link 
to ghdl-0.33-win32.zip), you’d have the packages installed. There’s a command 
line flag for revision -2008 (--std=08, given after a command   (-a/-e for 
analysis/elaboration) of the standard you could pass to provide access.



_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss                                      
  
_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to