On 26/11/15 18:41, Attila Kinali wrote:
Moin,

I just build myself a larger testbench that takes larger array of
randomly generated real values and casts them into an sfixed.

For obvious reasons i get this warning:
../../src/ieee2008/fixed_generic_pkg-body.vhdl:2546:9:@57675ps:(assertion 
warning): :ieee:fixed_generic_pkg:TO_SFIXED(INTEGER): vector truncated

One obvious way to fix this would be to use values with fewer digits.
But I am lazy ;-)

Is it somehow possible to tell ghld, "yes, i know there is something
not ok, but please just stop this one assert from file X at line Y" ?
If possible without disabling all others.

Not exactly but what about:

http://ghdl.readthedocs.org/en/latest/Simulation_and_runtime.html#cmdoption--ieee-asserts

Tristan.


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to