> On Jun 3, 2016, at 4:52 AM, Vít Fábera <fab...@fd.cvut.cz> wrote:
> 
> Hello,
> 
> we would like to use GHDL as simulator as real simulator.
> it means simulate FSMs with real input and set real outputs.
> 
> We would like to create library with commands like writeline,
> which would send data for example to serial port, to network
> via UDP/TCP packet and, naturaly, with function like read.
> 
> Does anybody create such library or similar extension of GHDL?

Some of this may be already there as part of standard I/O in VHDL.  And 
whatever is missing you can easily implement yourself as C code that's 
accessible from VHDL; see the documentation.

I used this technique to connect a VHDL model of a processor and I/O channel to 
a simulated device controller written in C, which in turn accessed the "tape 
data" from a file.

        paul



_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to