Re: gEDA-user: Several PCB versions on 1 system

2007-04-03 Thread ST de Feber
How stupid can one be !

Somewhere in my /opt/pcb-20070208/share was a script (called Pcb)
setting the default libraries, of which one was called newlib.

This setting shadowed my own newlib setting in the .pcb/preferences file/


grtz

Simon



- Original Message 
From: ST de Feber <[EMAIL PROTECTED]>
To: geda-user@moria.seul.org
Sent: Tuesday, 3 April, 2007 1:26:36 PM
Subject: Re: gEDA-user: Several PCB versions on 1 system

Found the issue !

library-newlib = ./footprints:~/data/gaf/footprints/sdf

The  ./footprints: seems to be crucial !

grtz

Simon







___ 
All New Yahoo! Mail – Tired of unwanted email come-ons? Let our SpamGuard 
protect you. http://uk.docs.yahoo.com/nowyoucan.html


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user






___ 
What kind of emailer are you? Find out today - get a free analysis of your 
email personality. Take the quiz at the Yahoo! Mail Championship. 
http://uk.rd.yahoo.com/evt=44106/*http://mail.yahoo.net/uk


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread John Doty


On Apr 3, 2007, at 3:37 PM, Dan McMahill wrote:



I have to agree 100% with Al here.  The ability to easily run the  
ac analysis at whatever operating point you have, be it from an  
explicit operating point analysis or where a transient stopped, is  
extremely important.


Yep. Of course what a low level digital video designer wants is  
*noise* analysis at a particular point in time. And a simulator that  
could incorporate physical noise directly into a transient analysis  
would really get my attention.


John Doty  Noqsi Aerospace, Ltd.
[EMAIL PROTECTED]




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Looking for a project

2007-04-03 Thread Greg Cunningham
On Tue, 2007-04-03 at 22:49, Dan McMahill wrote:
> al davis wrote:
> 
> > FM stereo generator.  The broadcast ones are very expensive.  
> > You can buy one made for a lab cheap.  It sort of works.  A 
> > real broadcast one is simple but much more expensive.
> 
> If anyone feels like building one of these, I can give some extra 
> guidance on analog implementations and how to test some of the 
> parameters using relatively basic instrumentation.  Personally I think 
> this is a good project for dsp though.
> 
> -Dan

By coincidence, Dan Mills, dmills__a t__exponent.myzen.co.uk, a
developer of rivendell ( http://rivendellaudio.org, a suite of broadcast
automation software) has written a complete software audio/RDS FM
multiplexer called stereocoder & a audio processor/look-ahead limiter
front-end  called louderbox ( borrowed from Jamin - a multiband
compressor/limiter) that all runs on Jack (http://jackaudio.org - a
callback based audio server).  It basically spews 192kHz baseband PCM to
stdout as-is. Just find a audio card that does 192kHz & you're smokin...

his cvs is hosted at Salem Radio Labs (watch the wrap)
cvs -d:pserver:[EMAIL PROTECTED]:/home/cvs login
cvs -d:pserver:[EMAIL PROTECTED]:/home/cvs co
louderbox stereocoder
... but as Salem is no longer the primary sponsor of Rivendellaudio,
that may change.  He may also have an alternate cvs.

I asked Dan some time ago if he was interested in shoehorning his coder
into a Blackfin DSP, but he has moved on to other FOSS broadcast
challenges.

If people are interested further, I'm sure he wouldn't mind a chat.
-- 
Greg


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread Magnus Danielson
From: al davis <[EMAIL PROTECTED]>
Subject: Re: gEDA-user: Design Flow Roadmap starting point
Date: Tue, 3 Apr 2007 20:22:34 -0400
Message-ID: <[EMAIL PROTECTED]>

> On Tuesday 03 April 2007 19:38, Magnus Danielson wrote:
> > From: al davis <[EMAIL PROTECTED]>
> > > I was thinking of using the gnucap "CS" parser class, and
> > > doing it like everything else in gnucap, as a language
> > > plugin.  I am guessing that VHDL will be about 50 lines of
> > > code, Verilog will be about 50 lines of code, Spice will be
> > > about 2000 lines of code, pcb about 100 lines, not sure
> > > about gschem.
> >
> > Need to look at the gnucap parser class stuff. Otherwise I
> > agree.
> 
> The line count is a guess.  My point is that VHDL and Verilog 
> are about the same.  Spice is the worst one of all.  Others are 
> between.  The fact that VHDL and Verilog are so regular makes 
> the parsers and generators small.  The Spice parser and 
> generator is huge because the language is so irregular.  It 
> seems every component is different.

Index finger to thumb measures are fine. :)

The point about irregular languages is indeed a good one.

> > Certainly, but for a sufficiently complex thing, type
> > filtering isn't helping unless you can construct new types
> > out of the old and name them as you like. Compound types of
> > various sort comes to mind after some time and ka-bang things
> > got a bit complex again. Filtering on attribute names is
> > probably a better appoach most of the times.
> 
> That is way too complicated.  The type is just a name, used to 
> group things, so they can be filtered as groups.

I was talking about type as in integer, real, string, bit etc. Thus the
confusion.

>  Without 
> changing anything, it is possible to just use a naming 
> convention and partial matching to select.  I am thinking of 
> groups like simulation parameters, the hidden attributes that 
> make gschem work, layout stuff, data coming back from a 
> simulator.  The names cannot be determined in advance.  Strings 
> like what we do now for things like spice sources is not the 
> way to go long term.  To store it, it would need to be able to 
> be encoded as a single string anyway, so it might make sense to 
> just do that and forget doing anything special.
> 

Thus, the only type you initially intend to support is string.
However, many named constants/attributes/generics of the type string is in
there.

I forsee alot of other side-information that follows along. We might need
different architectures depending on which simulator is going to handle things.
A simulation model for one form may not have the same names as another etc.

You would also like attributes to propagate out of devices, so that we can
have for a signal the shortest rise and fall times, which is immensly usefull
for SI and EMC work, just to name one.

If you take a few steps back, there are more of these things.

Cheers,
Magnus


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Michael Sokolov
[EMAIL PROTECTED] wrote:

> It recently took me five minutes to sweet-talk openoffice into
> letting me type "MHz" correctly.

Yet another reason to use vi and troff instead of OO.

> Good thing for me I rarely use word processors of any kind.
> I'm a TeXhead from way back.

So why were you using OO rather than TeX then?

MS


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread al davis
On Tuesday 03 April 2007 19:38, Magnus Danielson wrote:
> From: al davis <[EMAIL PROTECTED]>
> > I was thinking of using the gnucap "CS" parser class, and
> > doing it like everything else in gnucap, as a language
> > plugin.  I am guessing that VHDL will be about 50 lines of
> > code, Verilog will be about 50 lines of code, Spice will be
> > about 2000 lines of code, pcb about 100 lines, not sure
> > about gschem.
>
> Need to look at the gnucap parser class stuff. Otherwise I
> agree.

The line count is a guess.  My point is that VHDL and Verilog 
are about the same.  Spice is the worst one of all.  Others are 
between.  The fact that VHDL and Verilog are so regular makes 
the parsers and generators small.  The Spice parser and 
generator is huge because the language is so irregular.  It 
seems every component is different.

> Certainly, but for a sufficiently complex thing, type
> filtering isn't helping unless you can construct new types
> out of the old and name them as you like. Compound types of
> various sort comes to mind after some time and ka-bang things
> got a bit complex again. Filtering on attribute names is
> probably a better appoach most of the times.

That is way too complicated.  The type is just a name, used to 
group things, so they can be filtered as groups.  Without 
changing anything, it is possible to just use a naming 
convention and partial matching to select.  I am thinking of 
groups like simulation parameters, the hidden attributes that 
make gschem work, layout stuff, data coming back from a 
simulator.  The names cannot be determined in advance.  Strings 
like what we do now for things like spice sources is not the 
way to go long term.  To store it, it would need to be able to 
be encoded as a single string anyway, so it might make sense to 
just do that and forget doing anything special.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: VMWare image of Ubuntu distribution of Linux with gEDA installed.

2007-04-03 Thread Darrell Harmon

John Griessen wrote:
Steve Morss's VMWare image with gEDA is available on my server until 
people use up too much

bandwidth.  That will happen after 50 downloads

See   http://foseda.com/   the link   gEDA-on-Linux-on-VMWare

John Griessen

PS  I have not tested it yet.   Do you have a checksum for it Steve?



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user
I have about 100 GB/month extra bandwidth and can mirror it if you run 
out. I wouldn't mind hosting anything else gEDA related as well.


Darrell Harmon

Darrell Harmon



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread Magnus Danielson
From: al davis <[EMAIL PROTECTED]>
Subject: Re: gEDA-user: Design Flow Roadmap starting point
Date: Tue, 3 Apr 2007 19:08:27 -0400
Message-ID: <[EMAIL PROTECTED]>

Al,

> All that is needed is the basic framework.  It is really simple.  
> Most of everything is out, but by picking a standard language 
> there is a pre-determined way to add later if we need it.

Certainly. My point with the exercise was to show just how much of VHDL that is
not going in.

> > What we need is:
> >
> > Entity declaration (with generics and ports).
> > Architecture.
> > Instantiation of other entities.
> > Assignment of attributes and generics.
> > Assignment of signals.
> > UREF's could be converted into labels.
> 
> Not even all of that.

Maybe not. This was a very quick and coarse subset exercise.

What is needed is a somewhat large subset of VHDL than the current gnetlist
VHDL backend generates. You can actually take that subset and extend out from
that until you have the features you need. I beleive the relevant comments is
still there to help guidance through the VHDL LRM. :-)

> > This is not complex stuff and it should be fairly easy to
> > generate parser and dumper in C or C++, especially if one
> > uses PCCTS/ANTLR.
> 
> I was thinking of using the gnucap "CS" parser class, and doing 
> it like everything else in gnucap, as a language plugin.  I am 
> guessing that VHDL will be about 50 lines of code, Verilog will 
> be about 50 lines of code, Spice will be about 2000 lines of 
> code, pcb about 100 lines, not sure about gschem.

Need to look at the gnucap parser class stuff. Otherwise I agree.

> The idea is a common framework that gets them all.  It must be 
> able to both parse it and generate it.

Certainly. However, many formast have their quirks and limits, so in the end
you will find that many formats will be hard to fully support.

> > > gnetlist has served us well so far, but we have learned a
> > > lot by doing it and using it, and it is time to move on.
> >
> > Indeed.
> 
> There is  lot of old stuff like that.  That is my opinion of 
> Spice, too.  It has served us well, time to move on.  At least 
> a few of its creators think so too.

Certainly. With "modern" things like VHDL and XML you can take a fresh start,
gain a little and loose a little. I've never been a fan of XMLizing things for
its own sake thought.

> > > gschem attributes need to have types.  The type is just a
> > > string, but important.  That way one type can be those
> > > passed to a simulator, another can be those passed back
> > > from the simulator, etc.  Since the type is just a string,
> > > new types can be added at any time.  An attribute should be
> > > able to have multiple types.
> >
> > Your uses of types confuses me here.
> 
> The idea here is that if attributes have types it is easy to 
> filter out or select all attributes of a given type.  It also 
> is easy to make translators.

Certainly, but for a sufficiently complex thing, type filtering isn't helping
unless you can construct new types out of the old and name them as you like.
Compound types of various sort comes to mind after some time and ka-bang
things got a bit complex again. Filtering on attribute names is probably a
better appoach most of the times.

Cheers,
Magnus


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: Re: Icarus Verilog PLI example: PLI_INT32 vs static int

2007-04-03 Thread Stephen Williams
Günter Dannoritzer wrote:
> I modified the vpi_user.c to not needing the other application that
> comes along with that chapter 2 example and compiled it with:
> 
>   iverilog-vpi pow_vpi.c vpi_user.c
>   iverilog -opow_test.vvp pow_test.v
>   vvp -M. mpow_vpi pow_test.vvp
> 
> The output I am getting is:
> 
>  $pow PLI application is being used.
> 
>  Segmentation fault

I don't know what you are doing wrong, if anything. Please file
this as a bug report so that it doesn't get lost, because I will
want to deal with this.

You have looked at the User Guide in the iverilog.wikia.com wiki
documentation, yes? If those examples fail for you, then report
that bug with a high priority!-O

-- 
Steve Williams"The woods are lovely, dark and deep.
steve at icarus.com   But I have promises to keep,
http://www.icarus.com and lines to code before I sleep,
http://www.picturel.com   And lines to code before I sleep."



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread al davis
On Tuesday 03 April 2007 17:37, Dan McMahill wrote:
> I have to agree 100% with Al here.  The ability to easily run
> the ac analysis at whatever operating point you have, be it
> from an explicit operating point analysis or where a
> transient stopped, is extremely important.  Al's class-B amp
> is a good example because of course the output devices
> undergo very large signal changes and you should be concerned
> with small signal stability over the entire output range.
> Other circuits may not have a d.c. operating point that means
> anything.

The real fun one with the class-B amp is that the usual Spice 
operating point is exactly in the middle of the crossover 
region.  If there really is no quiescent current, the AC 
analysis gives you no output at all.  If you bias it up a bit, 
now class-AB, the current is still low, making the 
transconductance low, making the output impedance high, still 
useless.

Try a two-tone test and you can see a reason why some early 
transistor amplifiers sounded so bad.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread al davis
On Tuesday 03 April 2007 18:36, Magnus Danielson wrote:
> You can strip of many things from VHDL which you will not
> initially need. What you end up with very quickly is a small
> subset which brings much of the properties which gedas
> textual format has. It should be fairly easy to do so.
>
> Hierarchial stuff is trivial.
> Attributes is trivial.
>
> >From this you can then selectively add things from the VHDL
> > standard at need.
>
> What should one cut out or cut down then?
>
> Design entities and configurations (Section 1) - all but
> config (1.3) Subprograms and packages (Section 2) - cut out
> Types (Section 3) - cut down considerably
> Declarations (Section 4) - cut down
> Specifications (Section 5) - most if not all is in
> Names (Section 6) - most if not all is in
> Expressions (Section 7) - initially all cut out
> Sequential statements (Section 8) - initially all cut out
> Concurrent statements (Section 9) - all but 9.5 and 9.6 which
> however is cut down

All that is needed is the basic framework.  It is really simple.  
Most of everything is out, but by picking a standard language 
there is a pre-determined way to add later if we need it.

>
> What we need is:
>
> Entity declaration (with generics and ports).
> Architecture.
> Instantiation of other entities.
> Assignment of attributes and generics.
> Assignment of signals.
> UREF's could be converted into labels.

Not even all of that.

> This is not complex stuff and it should be fairly easy to
> generate parser and dumper in C or C++, especially if one
> uses PCCTS/ANTLR.

I was thinking of using the gnucap "CS" parser class, and doing 
it like everything else in gnucap, as a language plugin.  I am 
guessing that VHDL will be about 50 lines of code, Verilog will 
be about 50 lines of code, Spice will be about 2000 lines of 
code, pcb about 100 lines, not sure about gschem.

The idea is a common framework that gets them all.  It must be 
able to both parse it and generate it.

> > gnetlist has served us well so far, but we have learned a
> > lot by doing it and using it, and it is time to move on.
>
> Indeed.

There is  lot of old stuff like that.  That is my opinion of 
Spice, too.  It has served us well, time to move on.  At least 
a few of its creators think so too.

> > gschem attributes need to have types.  The type is just a
> > string, but important.  That way one type can be those
> > passed to a simulator, another can be those passed back
> > from the simulator, etc.  Since the type is just a string,
> > new types can be added at any time.  An attribute should be
> > able to have multiple types.
>
> Your uses of types confuses me here.

The idea here is that if attributes have types it is easy to 
filter out or select all attributes of a given type.  It also 
is easy to make translators.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: PCB puller tool

2007-04-03 Thread DJ Delorie

I put a copy of the modified src/puller.c here:

http://www.delorie.com/pcb/puller/puller.c

:GlobalPuller
:GlobalPuller(selected)
:GlobalPuller(found)

Just replace your existing src/puller.c with it and recompile.  It
affects the current layer only.

MAKE SURE YOU HAVE A BACKUP OF YOUR .PCB FILE!


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: PCB puller tool

2007-04-03 Thread Steven Michalske
Thanks, puller in the command window worked,  i wish i knew gtk  
better so i could map Y to puller in gtk


the global puller might be interesting :-)

Steve

On Apr 3, 2007, at 12:49 PM, DJ Delorie wrote:




In the GTK hid of PCB I can't seem to use the puller tool, is this a
lesstif only?


Likely.  I hooked in the puller through pcb-menu.res just to test it.
You should be able to use :puller in gtk, then maybe have to click on
where you want to pull.

If you like the puller, I can send you the patch for the global
puller, which produces a beautiful board 90% of the time and random
scribbles the other 10% of the time.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Flow Roadmap starting point

2007-04-03 Thread Magnus Danielson
From: al davis <[EMAIL PROTECTED]>
Subject: Re: gEDA-user: Design Flow Roadmap starting point
Date: Sun, 18 Mar 2007 01:29:07 -0400
Message-ID: <[EMAIL PROTECTED]>

Al,

(Jumping into the discussion mid-waters)

> I still believe we need an interchange file format, that should 
> be a VHDL derivative.  VHDL has most of the capabilities 
> needed, and is an industry standard.  If something is missing, 
> we can add it, and propose it back to the standards committee.  
> They might even welcome it.

I think that VHDL is a very good boiler-plate. This approach was used for
Boundary Scan files.

You can strip of many things from VHDL which you will not initially need.
What you end up with very quickly is a small subset which brings much of the
properties which gedas textual format has. It should be fairly easy to do so.

Hierarchial stuff is trivial.
Attributes is trivial.

>From this you can then selectively add things from the VHDL standard at need.

What should one cut out or cut down then?

Design entities and configurations (Section 1) - all but config (1.3)
Subprograms and packages (Section 2) - cut out
Types (Section 3) - cut down considerably
Declarations (Section 4) - cut down
Specifications (Section 5) - most if not all is in
Names (Section 6) - most if not all is in
Expressions (Section 7) - initially all cut out
Sequential statements (Section 8) - initially all cut out
Concurrent statements (Section 9) - all but 9.5 and 9.6 which however is cut 
down

This is just a swift walk-through of the VHDL standard (using the VHDL-93
that was lying on my desk anyway). Whatever is needed to support AMS infra-
structure (i.e. analog signals), which should not be very much.

What we need is:

Entity declaration (with generics and ports).
Architecture.
Instantiation of other entities.
Assignment of attributes and generics.
Assignment of signals.
UREF's could be converted into labels.

This is not complex stuff and it should be fairly easy to generate parser and
dumper in C or C++, especially if one uses PCCTS/ANTLR.

Writing up a VHDL equalent format for the geda netlist format should not be
too hard. If you want to go beyond the geda netlist format constrains of today,
it is fairly easy to do.

The one thing which I am not up to date on is how modern character sets is
handled etc.

> gnetlist really needs to be redone, from the ground up.  This 
> VHDL based intermediate format is the way to do it.
> 
> gnetlist has served us well so far, but we have learned a lot by 
> doing it and using it, and it is time to move on.

Indeed.

> > *  Similarly, how should gnetlist behave?  A use-case list
> > would be useful.
> 
> Any extraction should preserve hierarchy, in hopes that the 
> target tool also benefits from it.  If it doesn't, you need a 
> separate flattener pass, separate from the translation.

In a gnetlist rewrite, a flattener could be written and called for those
backends that need it.

In the other direction, naming conventions may alow for de-flatenations in
some cases, so support for that may also be considered.

> Regardless, the translation must be 100%, lossless, in both 
> directions.

Indeed.

> gschem attributes need to have types.  The type is just a 
> string, but important.  That way one type can be those passed 
> to a simulator, another can be those passed back from the 
> simulator, etc.  Since the type is just a string, new types can 
> be added at any time.  An attribute should be able to have 
> multiple types.

Your uses of types confuses me here.

In a future, it is not necessarilly only strings, but it is a good first
assumption.

> 
> > *  Finally, how should PCB behave with a hierarchical
> > schematic?
> 
> Right click on a symbol, select "go inside", and another drawing 
> opens up showing what's inside.  gschem also should act this 
> way.

Indeed.

Cheers,
Magnus


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Steven Michalske

Story time,

back when I was in middle school, early 90's.

I have a friend that was a Mac fanatic, my constant source of teasing  
was the one button mouse.
Then one day he brought in his 8 button mouse for a Mac.  I was  
schooled, as at that time windows boxes had a hard time with three  
buttons.


Steve

On Apr 3, 2007, at 12:53 PM, DJ Delorie wrote:




   Gotcha.  I use a one-button mouse on my main web-browsing machine,
though. ;)


Story time...

At my previous job, I once worked with the marketing people to put
together an interactive web site publishing system (I did the "system"
part, they did the web site).  They had macs.  I had a sparcstation.
They noticed I was doing a lot of editing without using the keyboard.
"Hey, how do you do all that?".  I picked up the three-button mouse
and showed it to them.  "See these other buttons?"

They were enlightened.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Dan McMahill

al davis wrote:

Rather, Gnucap should do a check before 
running an analysis to verify that the operating point has

already been computed and is known.  If it's unknown, then
Gnucap should print out a warning like "No operating point --
you probably need to run op".


That would be an improvement, but the power off test is a valid 
test, and you don't need to do it for a linear circuit.


It probably should print a warning whenever "ac" is done after 
anything other that "op", because only after an "op" will it 
give the same answers as Spice.  If you do "ac" after "tran" it 
will use the last step of the "tran" as the operating point.  
This is extremely valuable if you are doing real analog work.  
I really need to write up that Class-B amplifier example to 
show it.


I have to agree 100% with Al here.  The ability to easily run the ac 
analysis at whatever operating point you have, be it from an explicit 
operating point analysis or where a transient stopped, is extremely 
important.  Al's class-B amp is a good example because of course the 
output devices undergo very large signal changes and you should be 
concerned with small signal stability over the entire output range. 
Other circuits may not have a d.c. operating point that means anything.


Probably, it should always print a note:  "using operating point 
xxx".


that would be useful.

-Dan



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Dan McMahill

al davis wrote:

On Tuesday 03 April 2007 15:02, Patrick Doyle wrote:

I'm a 20 year Unix veteran.  I prefer case sensitivity :-)


The issue here is not preference but conformance to a published 
standard (Verilog) or to an unwritten understanding in Spice.


Actually, early versions of Spice (in Fortran) were case 
sensitive.


A mix is annoying.  The only reason I let it go in gnucap 
is "that part of the code is planned for major rework anyway".


Specifically, there will be language plug-ins that will 
determine the syntax that is read, finally truly solving the 
spice compatibility problem.


Actually ... I too prefer case sensitivity.


I also prefer case sensitivity although this touches on something 
painful.  Many tools, especially older ones, have more restrictive rules 
for things like net names and refdes names.  So you have to be careful. 
 As an example in gschem/gnetlist "R1" and "r1" are unique as are 
"Input" and "INPUT".  There is a framework in gnetlist for mapping to a 
more restrictive format (for example by converting to uppercase and 
truncating to 8 characters if thats what a backend needs) while 
monitoring for collisions created by doing so.  However I'm willing to 
bet that not all backends which need this functionality actually have 
this functionality.


-Dan



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 17:05, Patrick Doyle wrote:
> Speaking of patches, features, and recompiles...
> I just typed "edit" at the Gnucap prompt for a somewhat
> modified, but basically the same netlist as I gave you
> previously, was rewarded with the netlist showing up in my
> emacs,  exited out, and got back a bunch of syntax errors
> from Gnucap.
>
> I can give you more details if you would like.

Known bug.  Mostly in the snapshot.  I know what it is.

> I can take discussions of Gnucap misunderstandings and
> irregularities to a different forum if you would like.

There is a gnucap developer list (gnucap-devel@gnu.org) and a 
user help list (help-gnucap@gnu.org).


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle

Since you mentioned it, and I didn't think of it before, it is
easy to change it, so I did ..

Here's the patch ..
in the file "d_bjt.model"
Find:
  public_keys {
NPN polarity=pN;
PNP polarity=pP;
  }
Change it to:
  public_keys {
NPN polarity=pN;
PNP polarity=pP;
NPN1 polarity=pN;
PNP1 polarity=pP;
  }
 -- and recompile.

Speaking of patches, features, and recompiles...
I just typed "edit" at the Gnucap prompt for a somewhat modified, but
basically the same netlist as I gave you previously, was rewarded with
the netlist showing up in my emacs,  exited out, and got back a bunch
of syntax errors from Gnucap.

I can give you more details if you would like.

I can take discussions of Gnucap misunderstandings and irregularities
to a different forum if you would like.

--wpd


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread ldoolitt
David -

On Tue, Apr 03, 2007 at 04:52:52PM -0400, David Kerber wrote:
> There's a much shallower option to add [MHz] to the dictionary, so it will
> even correct it next time...

Openoffice didn't just show it with a red squiggly underline,
it actively changed it as soon as I typed it.   How could I
add it to the dictionary?  Never mind.  I don't really want
to know.  All I need to know is that vim never does that to me.

   - Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


RE: gEDA-user: simulation advice

2007-04-03 Thread David Kerber
There's a much shallower option to add that to the dictionary, so it will
even correct it next time...
 
Dave


> -Original Message-
> From: [EMAIL PROTECTED] 
> [mailto:[EMAIL PROTECTED] On Behalf Of 
> [EMAIL PROTECTED]
> Sent: Tuesday, April 03, 2007 4:37 PM
> To: gEDA user mailing list
> Subject: Re: gEDA-user: simulation advice
> 
> On Tue, Apr 03, 2007 at 04:00:24PM -0400, DJ Delorie wrote:
> > 
> > I have enough problems with my word processor changing case when I 
> > don't want it to, I certainly don't need my file system 
> doing it too.
> 
> It recently took me five minutes to sweet-talk openoffice 
> into letting me type "MHz" correctly.  There is an option 
> deep in the menus to turn off auto-correction of this "mistake".
> 
> Good thing for me I rarely use word processors of any kind.
> I'm a TeXhead from way back.
> 
>- Larry
> 
> 
> ___
> geda-user mailing list
> geda-user@moria.seul.org
> http://www.seul.org/cgi-bin/mailman/listinfo/geda-user
> 




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 4:28 PM, Ryan Seal wrote:
As a windows user who does java programming (which is case- 
sensitive), I can

understand being used to it, but why would you actually prefer it?


  I can tell you why *I* prefer case-sensitivity.  It makes  
sense.  'A' is simply not the same thing as 'a'.  Even in  
something as imprecise as the English language, they are used  
differently.  Making things insensitive to case involves expending  
effort to reduce accuracy...and that really seems dumb to me.


I just had a "Windows flashback" thinking of case sensitivity. I  
was recently coerced into reviewing some VC++ code using  
VisualStudio - what a freaking nightmare. People speak of this IDE  
system as if it fell from the sky - it is actually the most  
unintuitive, complex piece of garbage I have ever laid eyes on.  
Case insensitivity is at the top of the PITA list for non-windows  
developers. Case sensitivity and code development (and general file/ 
directory organization for some) go hand in hand.


  Absolutely.  Some VC++ "developers" actually hit puberty and  
become programmers.


  Some.

-Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread ldoolitt
On Tue, Apr 03, 2007 at 04:00:24PM -0400, DJ Delorie wrote:
> 
> I have enough problems with my word processor changing case when I
> don't want it to, I certainly don't need my file system doing it too.

It recently took me five minutes to sweet-talk openoffice into
letting me type "MHz" correctly.  There is an option deep in the
menus to turn off auto-correction of this "mistake".

Good thing for me I rarely use word processors of any kind.
I'm a TeXhead from way back.

   - Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Ryan Seal

Dave McGuire wrote:

On Apr 3, 2007, at 3:25 PM, David Kerber wrote:
As a windows user who does java programming (which is 
case-sensitive), I can

understand being used to it, but why would you actually prefer it?


  I can tell you why *I* prefer case-sensitivity.  It makes sense.  
'A' is simply not the same thing as 'a'.  Even in something as 
imprecise as the English language, they are used differently.  Making 
things insensitive to case involves expending effort to reduce 
accuracy...and that really seems dumb to me.


I just had a "Windows flashback" thinking of case sensitivity. I was 
recently coerced into reviewing some VC++ code using VisualStudio - what 
a freaking nightmare. People speak of this IDE system as if it fell from 
the sky - it is actually the most unintuitive, complex piece of garbage 
I have ever laid eyes on. Case insensitivity is at the top of the PITA 
list for non-windows developers. Case sensitivity and code development 
(and general file/directory organization for some) go hand in hand.


Ryan




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread DJ Delorie

I have enough problems with my word processor changing case when I
don't want it to, I certainly don't need my file system doing it too.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 3:25 PM, David Kerber wrote:
As a windows user who does java programming (which is case- 
sensitive), I can

understand being used to it, but why would you actually prefer it?


  I can tell you why *I* prefer case-sensitivity.  It makes sense.   
'A' is simply not the same thing as 'a'.  Even in something as  
imprecise as the English language, they are used differently.  Making  
things insensitive to case involves expending effort to reduce  
accuracy...and that really seems dumb to me.


   -Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 3:53 PM, DJ Delorie wrote:

   Gotcha.  I use a one-button mouse on my main web-browsing machine,
though. ;)


Story time...

At my previous job, I once worked with the marketing people to put
together an interactive web site publishing system (I did the "system"
part, they did the web site).  They had macs.  I had a sparcstation.
They noticed I was doing a lot of editing without using the keyboard.
"Hey, how do you do all that?".  I picked up the three-button mouse
and showed it to them.  "See these other buttons?"

They were enlightened.


  *snicker*  Well I'm a Unix guy first and foremost, and only really  
started using Macs when they (effectively) became damn fine Unix  
workstations.  All of my design work happens on a Sun Ray terminal  
back-ended by a studly multiprocessor UltraSPARC-III box.  The Sun  
Ray has a three-button mouse. ;)


   -Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread DJ Delorie

>Gotcha.  I use a one-button mouse on my main web-browsing machine,  
> though. ;)

Story time...

At my previous job, I once worked with the marketing people to put
together an interactive web site publishing system (I did the "system"
part, they did the web site).  They had macs.  I had a sparcstation.
They noticed I was doing a lot of editing without using the keyboard.
"Hey, how do you do all that?".  I picked up the three-button mouse
and showed it to them.  "See these other buttons?"

They were enlightened.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: PCB puller tool

2007-04-03 Thread DJ Delorie

> In the GTK hid of PCB I can't seem to use the puller tool, is this a
> lesstif only?

Likely.  I hooked in the puller through pcb-menu.res just to test it.
You should be able to use :puller in gtk, then maybe have to click on
where you want to pull.

If you like the puller, I can send you the patch for the global
puller, which produces a beautiful board 90% of the time and random
scribbles the other 10% of the time.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 15:02, Patrick Doyle wrote:
> I'm a 20 year Unix veteran.  I prefer case sensitivity :-)

The issue here is not preference but conformance to a published 
standard (Verilog) or to an unwritten understanding in Spice.

Actually, early versions of Spice (in Fortran) were case 
sensitive.

A mix is annoying.  The only reason I let it go in gnucap 
is "that part of the code is planned for major rework anyway".

Specifically, there will be language plug-ins that will 
determine the syntax that is read, finally truly solving the 
spice compatibility problem.

Actually ... I too prefer case sensitivity.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 3:44 PM, evan foss wrote:

>   Hmm, my Firefox has a "close tab" button on the right of each
> tab.  That seems to be very effective.

Middle-clicking on the tab is a faster way to close it, if you  
know that

trick - it's faster because you only have to aim for the tab (not the
little cross inside the tab).  I'm not sure if Firefox allows you to
turn off the little cross, but other browsers (eg. Opera) do.


I like the keybindings ctrl-w to close a tab, ctrl-t to open and
ctrl-n for a new window.


  I frequently use those as well, they are nice shortcuts.

  -Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 3:41 PM, Karl. wrote:

  Hmm, my Firefox has a "close tab" button on the right of each
tab.  That seems to be very effective.


Middle-clicking on the tab is a faster way to close it, if you know  
that

trick - it's faster because you only have to aim for the tab (not the
little cross inside the tab).  I'm not sure if Firefox allows you to
turn off the little cross, but other browsers (eg. Opera) do.


  Gotcha.  I use a one-button mouse on my main web-browsing machine,  
though. ;)


  -Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: PCB puller tool

2007-04-03 Thread Steven Michalske

Folks,

In the GTK hid of PCB I can't seem to use the puller tool,  is this a  
lesstif only?


Pressing 'Y' while over the line/arc junction doesn't seem to do  
anything.


strings pcb | grep puller   does show that the puller object file  
was linked in.


Steve


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread evan foss

On 4/3/07, Karl. <[EMAIL PROTECTED]> wrote:

>   Hmm, my Firefox has a "close tab" button on the right of each
> tab.  That seems to be very effective.

Middle-clicking on the tab is a faster way to close it, if you know that
trick - it's faster because you only have to aim for the tab (not the
little cross inside the tab).  I'm not sure if Firefox allows you to
turn off the little cross, but other browsers (eg. Opera) do.


I like the keybindings ctrl-w to close a tab, ctrl-t to open and
ctrl-n for a new window.


--
http://www.coe.neu.edu/~efoss/
http://evanfoss.googlepages.com/


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Karl.
>   Hmm, my Firefox has a "close tab" button on the right of each  
> tab.  That seems to be very effective.

Middle-clicking on the tab is a faster way to close it, if you know that 
trick - it's faster because you only have to aim for the tab (not the 
little cross inside the tab).  I'm not sure if Firefox allows you to 
turn off the little cross, but other browsers (eg. Opera) do.

Karl.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle

On 4/3/07, David Kerber <[EMAIL PROTECTED]> wrote:

As a windows user who does java programming (which is case-sensitive), I can
understand being used to it, but why would you actually prefer it?


habit, comfort, discipline, golly I've never really thought too much
about it before.

--wpd


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


RE: gEDA-user: simulation advice

2007-04-03 Thread David Kerber
As a windows user who does java programming (which is case-sensitive), I can
understand being used to it, but why would you actually prefer it?

Dave


> -Original Message-
> From: [EMAIL PROTECTED] 
> [mailto:[EMAIL PROTECTED] On Behalf Of Patrick Doyle
> Sent: Tuesday, April 03, 2007 3:03 PM
> To: gEDA user mailing list
> Subject: Re: gEDA-user: simulation advice
> 
> On 4/3/07, al davis <[EMAIL PROTECTED]> wrote:
> > One more point ...
> >
> > Node names are case sensitive.
> >
> > I suppose I should change it, but that part of the code is 
> planned for 
> > major rework anyway, and Verilog is supposed to be case sensitive.
> 
> I'm a 20 year Unix veteran.  I prefer case sensitivity :-)
> 
> --wpd
> 
> 
> ___
> geda-user mailing list
> geda-user@moria.seul.org
> http://www.seul.org/cgi-bin/mailman/listinfo/geda-user
> 




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle

On 4/3/07, al davis <[EMAIL PROTECTED]> wrote:

One more point ...

Node names are case sensitive.

I suppose I should change it, but that part of the code is
planned for major rework anyway, and Verilog is supposed to be
case sensitive.


I'm a 20 year Unix veteran.  I prefer case sensitivity :-)

--wpd


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
One more point ...

Node names are case sensitive.

I suppose I should change it, but that part of the code is 
planned for major rework anyway, and Verilog is supposed to be 
case sensitive.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread al davis
On Tuesday 03 April 2007 11:11, Patrick Doyle wrote:
> Should I (especially as a simulation newbie) not be using the
> development snapshot?  I grabbed that one when I discovered
> that the 0.34 version installed by yum wouldn't load my spice
> netlist.  Looking around now, I see that I should have
> followed the "Old versions" link on the webpage instead of
> the "Development releases" link, and I could be playing with
> 0.35 instead.

You should not be using 0.34.

As to the choice of 0.35 or the development snapshot, it depends 
on what you want.  If you want to get involved and help 
development, you should use the snapshot.  Newbie help is 
actually very important.  You uncover things that I would not, 
and that a long time gnucap user would not.  That is extremely 
valuable.

Many of the existing followers of gnucap are in it because of 
the developing native Verilog-AMS support, which is not 
available in free software now.  It is available only in high 
priced proprietary software.  These people are, at least for 
now, the strongest supporters.

The other group, more active users, is those who need what 
gnucap now offers, which is the extra probes, the extra 
flexibility in how the commands interact, the fourier command 
that really works.

There are not many beginners using it, in spite of the 
interaction that is actually better for beginners, if you don't 
fall into the almost-spice trap.  There is a real problem with 
beginner documentation.  If you use spice documentation, you 
will see the problems but none of the advantages.  Also, 
gnetlist only has partial support.

My priority has been to get Verilog-AMS working.  Documentation, 
the web page, and other things like that have fallen behind.

The NG-spice project has put most of its effort into 
documentation, the web page, and things like that.  The real 
development in NGspice has been almost all finding things done 
elsewhere and including them. Kind of an anti-fork.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 2:04 PM, Ryan Seal wrote:
If you are familiar with C  I'd suggest a microcontroller that is  
capable of being programmed in C, assembly can be tighter and  
cleaner, but that takes practice.
I am fond of the Atmel AVR series, and the GCC tool chain that  
goes along with it,  it is also convient for OS X and Linux users,  
as the basic stamps native environment is windows.
yes they have compilers and such for linux, but the AVRs have  
better OS X support.  With the GCC tool chain you have the ability  
to use both assembly and C


I also like the microchip PIC line. CCS offers a nice compiler in  
Linux for about 80 bucks (with a student discount) - but, if you  
are new to all of this, I would second the motion for the Atmel AVR  
series as well; since they offer the gcc avr compiler for Linux. I  
purchased the STK500 kit from digi-key some time ago and am still  
waiting on a free moment to evaluate it.


  The CCS compiler is *excellent*...it produces extremely tight code  
and is easy to use.  Sadly I didn't get the student discount but it  
is well worth even the full price!


   -Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle

On 4/3/07, al davis <[EMAIL PROTECTED]> wrote:

On Tuesday 03 April 2007 14:08, al davis wrote:
> It still gets a warning on the "NK"
> parameter, and ignores it. That is the same in gnucap or
> ngspice, or in gnucap with spice3f5 of ngspice models.

Actually, it is a one-liner to add the parameter.  I don't know
what it does.  It is probably easy to add that too, but I don't
know.

Thanks... I just removed that line from my model file, which seems
like an even simpler solution.

BTW, I applied the patch you suggested and I'm now up and running in
Gnucap.  I still don't know why the simulation doesn't match my
observations on the real HW, but I'm getting closer now.  I expect
that the ability to probe voltages at various nodes in my circuit and
compare them to the actual observations will help a lot here.

Thanks again.

--wpd


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 14:08, al davis wrote:
>  It still gets a warning on the "NK"
> parameter, and ignores it.  That is the same in gnucap or
> ngspice, or in gnucap with spice3f5 of ngspice models.

Actually, it is a one-liner to add the parameter.  I don't know 
what it does.  It is probably easy to add that too, but I don't 
know.

To add the parameter so you don't get a warning, again in 
d_bjt.model 

Somewhere in 
model BJT {
.
  independent {
..
raw_parameters {
// add the line:
  double nk "don't know what this is" name=NK;



.. and you won't see that warning because now NK is a recognized 
parameter.  I am not doing it in the official one but you can.

There are a few rough spots in the .model files.  I am not 
fixing them unless they are big problems because I want to 
change them all to Verilog-AMS.  I want to minimize work on the 
detour so I can work on the real thing.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Steve Morss

Peter Clifton wrote:

I'm hoping to conduct a brief census of people who use multiple pages in
gschem. I'm working on some code-changes to libgeda which may change the
navigation model slightly, and wanted to see how it is used now.

This is a feature which confuses some new users, as it can make work
"disappear" behind a new page.

I'm also unsure if the "user-model" (what the user thinks the program
does), matches the program's behaviour:

Do you use multiple windows running from the same copy of gschem?

  

No.


Do you use multiple running copies of gschem?
  
No, but if I had 2 designs and needed to reference one while drawing the 
other, I might.

Do you use these for related schematics?
  (Do you tend to have multiple pages from the same design?)

  

The designs wouldn't be the same design (as stated above).

How much use do you make of hierarchy traversal?
  (Schematic or symbol down, up, page next, page previous)?

  

Use symbol up and down to modify symbols.

For testing, I've got a version of gschem which just opens a pages in a
flat list. These can be traversed using toolbar buttons, just like a
document viewer. This significantly simplifies some code, and UI
presentation issues for a complex nested  hierarchy.

I'm also considering it might be best to launch a new window for each
hierarchy level, reserving multiple pages in one window to tie directly
to the case where a single level of hierarchy has multiple pages.

This is probably something I'll do whilst I develop the extended
data-structure needed to be more flexible with viewing the hierarchy.

Example screenshots are at:

http://www2.eng.cam.ac.uk/~pcjc2/geda/gschem_page_navi.png
http://www2.eng.cam.ac.uk/~pcjc2/geda/gschem_page_navi2.png

  
Looks very good.  Nice to have a graphical way of going from page to 
page when getting started with gschem ; the current page manager can be 
awkward, as it often gets lost behind other windows.  Arrows are a nice 
touch, because they don't chew up a lot of screen real estate.


Steve

(Same pic, just a different page loaded in each case).

Regards.

  




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle

The reason it works with ng-spice and not gnucap is that it was
written for ng-spice not gnucap.

Gnucap doesn't have levels for the BJT unless you use plugins.
You uncovered a bug that came about with the plugins -- in how
it handles that.  The old version would just ignore the level
keyword.  The current one got an error because it only found
a "PNP" with no level, as opposed to a PNP level 1.  The bug is
that the arrow points to the wrong place.  Spice 3f5 would not
accept the level either.  It still gets a warning on the "NK"
parameter, and ignores it.  That is the same in gnucap or
ngspice, or in gnucap with spice3f5 of ngspice models.

Since you mentioned it, and I didn't think of it before, it is
easy to change it, so I did ..


Thanks... I'll go apply the patch, thus answering my question whether
I should be running a development snapshot or a release snapshot -- 2
answers for the price of one question, very economical :-)


Then you have the ".include Simulation.cmd" in the middle of the
circuit description.

That's an artifact of "gnetlist spice-sdb" -- I'm not sure how it
decides where to put the .INCLUDE directives, but in the limited (3 or
so) examples at which I've looked, they seem to show up somewhere in
the middle.



 Inside it, you have the print after the
tran command.

That's an artifact of me trying to figure out how to use ngspice in
batch mode.  When I first started playing with my circuit, I loaded it
into ngspice, executed the "OP" command, printed voltages out at
various nodes, typed "quit", typed "yes" when I was asked if I really
wanted to quit, and got tired of all of that typing.  So I tried
putting the OP command into the script, but when I read the
documentation for the .PRINT command, it didn't seem like it supported
a PRTYPE of OP (I guess I could have just tried it -- maybe I did).
So I changed to a transient analysis with the thinking that I just
wanted to print out the steady state value at the end.



Putting the simulation commands in the middle of the circuit
description gives you a simulation of the part of the circuit
you have up to that point.  It works, but gives a different
result than the whole circuit would.  This is another
difference between gnucap and spice.  The gnucap way allows you
to build a circuit in steps and test after each step.  Build
part, test it, build another stage, test it.  Or .. build it,
test it, change it, test again, ...  You can't do this in
spice.


That's cool.

Thanks once again...

--wpd


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread DJ Delorie

As an aside for the r8c, I have a .pcb file for an eval board for the
R8C sdip-20 chips, which breaks out the chip to 100 mil headers and
includes the oscillator:

http://www.delorie.com/pcb/r8c-1b-adapter/

Renesas was almost giving away the starterkits for them a while back.
Digikey carries them (but doesn't stock them, so there's a wait).
They range in price from $38 to $300 depending on how big the board
is, and they all come with cables and tools.  Search for "renesas mini
starterkit" at digikey.com.  They're easy to program; just a few gpio
and a ttl-level serial port.  Some of the newer ones can be programmed
with a single gpio line (bidirectional serial).

Also, bug reports with the gnu tools get fixed pretty quickly ;-)

The register configuration is a little weird, though.  That's a plus
for assembly programmers (wide range of choices) but it made the gcc
port more complicated.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread al davis
On Tuesday 03 April 2007 14:04, Ryan Seal wrote:
> I also like the microchip PIC line. CCS offers a nice
> compiler in Linux for about 80 bucks (with a student
> discount) - but, if you are new to all of this, I would
> second the motion for the Atmel AVR series as well; since
> they offer the gcc avr compiler for Linux. I purchased the
> STK500 kit from digi-key some time ago and am still waiting
> on a free moment to evaluate it.

There is also a Free (GPL) development kit for a PIC, including 
a simulator.  The creator of it (Scott) occasionally posts to 
this list.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 11:17, Patrick Doyle wrote:
> ok, attached (perhaps) is a tarball of my work-in-progress
> directory, including my gschem schematic, my models
> directory, my Makefile that runs gnetlist (and ngspice).  I
> just checked before I packaged it up, and my version of
> Gnucap still doesn't like the netlist when I invoke it as:
>
> $ gnucap mictest.ckt

The reason it works with ng-spice and not gnucap is that it was 
written for ng-spice not gnucap.

Gnucap doesn't have levels for the BJT unless you use plugins.  
You uncovered a bug that came about with the plugins -- in how 
it handles that.  The old version would just ignore the level 
keyword.  The current one got an error because it only found 
a "PNP" with no level, as opposed to a PNP level 1.  The bug is 
that the arrow points to the wrong place.  Spice 3f5 would not 
accept the level either.  It still gets a warning on the "NK" 
parameter, and ignores it.  That is the same in gnucap or 
ngspice, or in gnucap with spice3f5 of ngspice models.

Since you mentioned it, and I didn't think of it before, it is 
easy to change it, so I did ..

Here's the patch ..
in the file "d_bjt.model"
Find:
  public_keys {
NPN polarity=pN;
PNP polarity=pP;
  }
Change it to:
  public_keys {
NPN polarity=pN;
PNP polarity=pP;
NPN1 polarity=pN;
PNP1 polarity=pP;
  }
 -- and recompile.

There is a way to make it as a plugin, so you don't need to 
recompile, but I will skip it for now.

This model would have problems with the old version because of 
the extra blank lines embedded between extended lines.  There 
is no spec on the spice format, so I made it to what seemed 
correct, it worked for everything I did.  Later, I found out 
that spice allows blank lines and comments inside extended 
lines.  That is fixed in the snapshot.  The old one is an 
example of where, although it isn't really a bug, the 
compatibility isn't perfect.  It underscores a big reason why 
moving away from the spice format is long overdue.

Then you have the ".include Simulation.cmd" in the middle of the 
circuit description.  Inside it, you have the print after the 
tran command.  You need to put that before, because in gnucap 
that means "attach probes here".  So you get a transient run 
with no instrumentation hooked up.  That (the commands) are 
another place where the compatibility is not perfect.  The way 
gnucap does it brings in big advantages with the ability to do 
multiple analyses that I don't want to give up.  I really need 
to write up that class-B amplifier example to show why.

Putting the simulation commands in the middle of the circuit 
description gives you a simulation of the part of the circuit 
you have up to that point.  It works, but gives a different 
result than the whole circuit would.  This is another 
difference between gnucap and spice.  The gnucap way allows you 
to build a circuit in steps and test after each step.  Build 
part, test it, build another stage, test it.  Or .. build it, 
test it, change it, test again, ...  You can't do this in 
spice.

Usually I prefer not to put commands in with the circuit 
description anyway.  For real work, either I run it 
interactively or make the command script a separate file from 
the circuit.  I like being able to do it either way.



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread DJ Delorie

> I have seen DJ Delorie using the R8C from Renasas, and I see that
> they are also using a GCC tool chain.  They look like a nice part as
> well.

The whole R8C/M16C/M32C family is a sweet set, everything from 20 pin
$3 to 144 pin chips at $42, with flash ranging from a few Kb to 1Mb.
All with a (mostly ) common architecture and heavy peripheral sharing
between variants and such.  And one compiler/assembler to cover them
all.

Oh, and they use a gcc toolchain because I'm the one who wrote it ;-)
(at least, the gcc part.  The assembler was originally done by someone
else, although I maintain it now).  Easy to program, too.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Ryan Seal


If you are familiar with C  I'd suggest a microcontroller that is 
capable of being programmed in C, assembly can be tighter and cleaner, 
but that takes practice.
I am fond of the Atmel AVR series, and the GCC tool chain that goes 
along with it,  it is also convient for OS X and Linux users, as the 
basic stamps native environment is windows.
yes they have compilers and such for linux, but the AVRs have better 
OS X support.  With the GCC tool chain you have the ability to use 
both assembly and C


I also like the microchip PIC line. CCS offers a nice compiler in Linux 
for about 80 bucks (with a student discount) - but, if you are new to 
all of this, I would second the motion for the Atmel AVR series as well; 
since they offer the gcc avr compiler for Linux. I purchased the STK500 
kit from digi-key some time ago and am still waiting on a free moment to 
evaluate it.


Ryan




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Mike Jarabek
To add my 0.02 CDN...

I have a couple of NXP LPC2103 based boards that I have been using. They cost 
me $24.95 USD from www.futurlec.com. These are ARM based and I use GCC (with 
the patches from www.gnuarm.com, which you don't really need unless you want to 
mix ARM and Thumb code) to program them. They also have a built in ROM 
bootloader so you don't have to mess with JTAG to program them. 

NXP has plenty of example code to get you started, and I wrote a simple command 
line downloader for it that runs under FreeBSD, but should compile on Linux 
without any changes. . 


--
  Mike Jarabek
 FPGA/ASIC Designer, DSP Firmware Designer
http://www.sentex.ca/~mjarabek
--  

-Original Message-
From: Steven Michalske <[EMAIL PROTECTED]>
Date: Tue, 3 Apr 2007 10:44:09 
To:gEDA user mailing list 
Subject: Re: gEDA-user: Design Lab Equipment

I second this motion!  basic stamps have nice small simple boards,   
the drawback i have with them is I didn't want to learn another  
variant of basic.

If you are familiar with C  I'd suggest a microcontroller that is  
capable of being programmed in C, assembly can be tighter and  
cleaner, but that takes practice.

I am fond of the Atmel AVR series, and the GCC tool chain that goes  
along with it,  it is also convient for OS X and Linux users, as the  
basic stamps native environment is windows.
yes they have compilers and such for linux, but the AVRs have better  
OS X support.  With the GCC tool chain you have the ability to use  
both assembly and C

I have seen DJ Delorie using the R8C from Renasas,  and I see that  
they are also using a GCC tool chain.  They look like a nice part as  
well.

Happy researching
Steve

On Apr 3, 2007, at 7:49 AM, Dave McGuire wrote:

> On Apr 3, 2007, at 9:29 AM, Felipe Balbi wrote:
>> Hmm... Nice...
>>
>> Actually, I'm Engineering studying... so.. that's my 4-year  
>> studying...
>> But, I want to get it started now... Wanna understand how to design
>> the correct interfaces... where to use capacitors, resistors,
>> inductors, etc... How to interface a PIC or 8051 with an LCD...  
>> How to
>> design a circuit to flash their memory... stuff like that...
>>
>>
>> I think there should be some techniques to make things a little  
>> easier... :-p
>
>   I will warn you, please listen...DO NOT expect to pick this up  
> overnight, or even in a few weeks.  There is a lot going on in  
> those little components, and a lot of stuff to be aware of.  I  
> admire your desire to "dive in" and start doing things, but it's  
> very important to be aware of one's own capabilities and select  
> your projects accordingly.  START SMALL...get a Basic Stamp and  
> blink an LED, maybe make a low-frequency sine wave with a D/A  
> converter, *then* move to a PIC or 8051 with an LCD.
>
>   Seriously...you will be much less frustrated, and you'll destroy  
> fewer components, if you start small.  Move quickly, but start small.
>
>   As others have suggested, Horowitz & Hill's "The Art of  
> Electronics" is absolutely fantastic.  You can also check out  
> http://www.allaboutcircuits.com/, it is very well-done and will get  
> you off to a good start.  Don't be tempted to skip over things like  
> Ohm's Law, etc., because you'll use it every day and it's important  
> to understand the underlying concepts.
>
>-Dave
>
> -- 
> Dave McGuire
> Port Charlotte, FL
>
>
>
>
> ___
> geda-user mailing list
> geda-user@moria.seul.org
> http://www.seul.org/cgi-bin/mailman/listinfo/geda-user



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Steven Michalske
I second this motion!  basic stamps have nice small simple boards,   
the drawback i have with them is I didn't want to learn another  
variant of basic.


If you are familiar with C  I'd suggest a microcontroller that is  
capable of being programmed in C, assembly can be tighter and  
cleaner, but that takes practice.


I am fond of the Atmel AVR series, and the GCC tool chain that goes  
along with it,  it is also convient for OS X and Linux users, as the  
basic stamps native environment is windows.
yes they have compilers and such for linux, but the AVRs have better  
OS X support.  With the GCC tool chain you have the ability to use  
both assembly and C


I have seen DJ Delorie using the R8C from Renasas,  and I see that  
they are also using a GCC tool chain.  They look like a nice part as  
well.


Happy researching
Steve

On Apr 3, 2007, at 7:49 AM, Dave McGuire wrote:


On Apr 3, 2007, at 9:29 AM, Felipe Balbi wrote:

Hmm... Nice...

Actually, I'm Engineering studying... so.. that's my 4-year  
studying...

But, I want to get it started now... Wanna understand how to design
the correct interfaces... where to use capacitors, resistors,
inductors, etc... How to interface a PIC or 8051 with an LCD...  
How to

design a circuit to flash their memory... stuff like that...


I think there should be some techniques to make things a little  
easier... :-p


  I will warn you, please listen...DO NOT expect to pick this up  
overnight, or even in a few weeks.  There is a lot going on in  
those little components, and a lot of stuff to be aware of.  I  
admire your desire to "dive in" and start doing things, but it's  
very important to be aware of one's own capabilities and select  
your projects accordingly.  START SMALL...get a Basic Stamp and  
blink an LED, maybe make a low-frequency sine wave with a D/A  
converter, *then* move to a PIC or 8051 with an LCD.


  Seriously...you will be much less frustrated, and you'll destroy  
fewer components, if you start small.  Move quickly, but start small.


  As others have suggested, Horowitz & Hill's "The Art of  
Electronics" is absolutely fantastic.  You can also check out  
http://www.allaboutcircuits.com/, it is very well-done and will get  
you off to a good start.  Don't be tempted to skip over things like  
Ohm's Law, etc., because you'll use it every day and it's important  
to understand the underlying concepts.


   -Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread evan foss

I like the tabs.   They would be just as useful if in a toolbar section.  They 
will cause less clutter there than as a separate
PageManager window...


I thought the point was to reduce the number of windows you have open.
I suppose you could make it user selectable but that would add
complexity. Just making tabs work should be complex enough for now.
Granted I say this as a person who has yet to write any code for this
project.

--
http://www.coe.neu.edu/~efoss/
http://evanfoss.googlepages.com/


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Darryl Gibson
Dave McGuire wrote:
> 
>   I will warn you, please listen...DO NOT expect to pick this up
> overnight, or even in a few weeks.  There is a lot going on in those
> little components, and a lot of stuff to be aware of.  I admire your
> desire to "dive in" and start doing things, but it's very important to
> be aware of one's own capabilities and select your projects
> accordingly.  START SMALL...get a Basic Stamp and blink an LED, maybe
> make a low-frequency sine wave with a D/A converter, *then* move to a
> PIC or 8051 with an LCD.

Basic Stamps are fun, and well documented, here is the "Stamps In Class"
homepage.

http://www.parallax.com/html_pages/edu/index.asp

Beware, the Basic Stamp email list is a HIGH volume list.
-- 
Darryl Gibson N2DIY
RLU X 182668/379552

“Arms are the only true badges of liberty. The possession of arms is the
distinction of a free man from a slave.”   --  Andrew Fletcher, A
Discourse of Government with relation to Militias (1698)


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Michael Sokolov
> Does he still use ABEL?
> The last edition I saw did, but also had some VHDL.

Dunno, I only have the 2nd edition (1994 or so IIRC).

MS


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread al davis
On Tuesday 03 April 2007 12:23, Michael Sokolov wrote:
> _Digital Design: Principles and Practices_ by John Wakerly is
> my favourite.

Does he still use ABEL?

The last edition I saw did, but also had some VHDL.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Michael Sokolov
_Digital Design: Principles and Practices_ by John Wakerly is my
favourite.

MS


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Peter Clifton
On Tue, 2007-04-03 at 09:38 -0500, John Griessen wrote:
> DJ Delorie wrote:
> Ales Hvezda wrote:
> > [snip]  are the tabs really that useful since they do sorta clutter things 
> > up.
> > 
> > Put the tabs in the toolbar?
> 
> 
> I like the tabs.   They would be just as useful if in a toolbar section.  
> They will cause less clutter there than as a separate
> PageManager window...

We'd have to implement the tab-window widget ourselves in that case. The
Gtk widget for tabs assumes the shape of a rectangle, and "contains" the
"pages" it switches between.

No reason it can't be done... we could probably borrow heavily from the
GTK sourcecode to do it.

What gschem could benefit from - shame I never thought of this in time
for the SoC suggestions, is a customisable toolbar system, rather than
the current hard-coded tool list.

Peter




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: VMWare image of Ubuntu distribution of Linux with gEDA installed.

2007-04-03 Thread Steve Morss

I ran md5 on the image and I get:
MD5 (ubuntu-custom-live_3.iso) = 220343841c25e2d1f4c5ab698ae05812

The file is a .iso file that can be mounted as a CD image and booted 
from in VMWare.  You can also burn it to a CD and boot from the CD 
without using VMWare at all.  When it boots, you'll see what looks like 
the normal Ubuntu 6.10 live CD.  If you go to the 
Applications->Education menu pick, you'll see gEDA apps.  You can also 
invoke them from the shell.


John, thanks again for putting this up on your site.

Steve



John Griessen wrote:
Steve Morss's VMWare image with gEDA is available on my server until 
people use up too much

bandwidth.  That will happen after 50 downloads

See   http://foseda.com/   the link   gEDA-on-Linux-on-VMWare

John Griessen

PS  I have not tested it yet.   Do you have a checksum for it Steve?



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user





___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 11:36 AM, evan foss wrote:

I don't think that is cluttered at all. I typically end up with
multiple gschem windows open any way, this is less cluttered. I just
have one question how do you open and close the tabs. Is it like
firefox (right click).


  Hmm, my Firefox has a "close tab" button on the right of each  
tab.  That seems to be very effective.


-Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: VMWare image of Ubuntu distribution of Linux with gEDA installed.

2007-04-03 Thread John Griessen

Steve Morss's VMWare image with gEDA is available on my server until people use 
up too much
bandwidth.  That will happen after 50 downloads

See   http://foseda.com/   the link   gEDA-on-Linux-on-VMWare

John Griessen

PS  I have not tested it yet.   Do you have a checksum for it Steve?



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread evan foss

I don't think that is cluttered at all. I typically end up with
multiple gschem windows open any way, this is less cluttered. I just
have one question how do you open and close the tabs. Is it like
firefox (right click).

--
http://www.coe.neu.edu/~efoss/
http://evanfoss.googlepages.com/


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle

> 3) I fetched the spice model for an MMBT3640 from
> Fairchild, and saw that my simple circuit loaded up in
> ngspice, but when I attempt to load it in Gnucap, I get:
>
> * gnetlist -g spice-sdb -s -o mictest.ckt mictest.sch
> .MODEL  MMBT3640  PNP   LEVEL = 1   IS= 1.41E-15   ISE
>   ^ ? no match
>
> (Note that the "^ ? no match" points to the word PNP in the
> line above.

That's wierd.  It should work.  PNP should find the native BJT
model.

That kind of message could mean you need to "attach" a model,
but it doesn't here.  It should work.  Send it to me and I will
look at it.


ok, attached (perhaps) is a tarball of my work-in-progress directory,
including my gschem schematic, my models directory, my Makefile that
runs gnetlist (and ngspice).  I just checked before I packaged it up,
and my version of Gnucap still doesn't like the netlist when I invoke
it as:

$ gnucap mictest.ckt

--wpd


mictest.tgz
Description: GNU Zip compressed data


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Patrick Doyle

On 4/3/07, al davis <[EMAIL PROTECTED]> wrote:

On Tuesday 03 April 2007 09:20, Stuart Brorson wrote:
> >> Here's what crashes for me:
> >>
> >> $ ~/local/bin/gnucap spice.netlist.wpd
> >> gnucap> plot ac v(Vout)
> >> gnucap> ac dec 1Hz 1MegHz
> >>
> >> #Freq
> >> Segmentation fault
> >
> > 1. You need to do "op" before "ac".
>
> I was thinking about this during my morning commute. It's
> fine that Gnucap wants an op performed before doing anything
> else. However, it shouldn't segfault if the user forgets or
> doesn't know this.

It doesn't segfault for me.


Does it segfault with the Simulation.cmd from Stuart's example?  If
not, I'll try to figure out why it does for me.  If so, I'll still
probably try to figure out what's going on, but you will probably have
fixed that problem, added 13 new features, and taken a vacation in the
mean time :-)


He is using a development snapshot, the equivalent of a CVS
checkout.


Should I (especially as a simulation newbie) not be using the
development snapshot?  I grabbed that one when I discovered that the
0.34 version installed by yum wouldn't load my spice netlist.  Looking
around now, I see that I should have followed the "Old versions" link
on the webpage instead of the "Development releases" link, and I could
be playing with 0.35 instead.

--wpd


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread al davis
On Tuesday 03 April 2007 10:49, al davis wrote:
> Probably, it should always print a note:  "using operating
> point xxx".

I will go a step further ..  It should print a comment that 
tells what the settings were, and it should go both to the file 
and screen.

# ac 20 20k octave 5
# operating point: tran 0 .002 10u skip 10 temperature=30
# at time=.002
# (the usual headings)
 20. .

Then if you don't do anything first it might be:
# ac 20 20k octave 5
# operating point: none - power off
# (the usual headings)
 20. ..

I have been thinking of doing this for a long time, but it never 
came to the top in priority.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread al davis
On Tuesday 03 April 2007 09:20, Stuart Brorson wrote:
> >> Here's what crashes for me:
> >>
> >> $ ~/local/bin/gnucap spice.netlist.wpd
> >> gnucap> plot ac v(Vout)
> >> gnucap> ac dec 1Hz 1MegHz
> >>
> >> #Freq
> >> Segmentation fault
> >
> > 1.  You need to do "op" before "ac".
>
> I was thinking about this during my morning commute.  It's
> fine that Gnucap wants an op performed before doing anything
> else.  However, it shouldn't segfault if the user forgets or
> doesn't know this. 

It doesn't segfault for me.

He is using a development snapshot, the equivalent of a CVS 
checkout.

> Rather, Gnucap should do a check before 
> running an analysis to verify that the operating point has
> already been computed and is known.  If it's unknown, then
> Gnucap should print out a warning like "No operating point --
> you probably need to run op".

That would be an improvement, but the power off test is a valid 
test, and you don't need to do it for a linear circuit.

It probably should print a warning whenever "ac" is done after 
anything other that "op", because only after an "op" will it 
give the same answers as Spice.  If you do "ac" after "tran" it 
will use the last step of the "tran" as the operating point.  
This is extremely valuable if you are doing real analog work.  
I really need to write up that Class-B amplifier example to 
show it.

Probably, it should always print a note:  "using operating point 
xxx".

> > 2. The AC line is incorrect.  The "Hz" is ignored, so you
> > asked for 1 step per decade, with a start frequency of 1
> > meg, no stop frequency.  It assumes the stop frequency is
> > also 1 meg, so you get a single point.
>
> Perhaps this is what caused the segfault?  Again, a little
> args checking prior to running an analysis would help clean
> this stuff up.

There is plenty of args checking.  It worked correctly for me.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Dave McGuire

On Apr 3, 2007, at 9:29 AM, Felipe Balbi wrote:

Hmm... Nice...

Actually, I'm Engineering studying... so.. that's my 4-year  
studying...

But, I want to get it started now... Wanna understand how to design
the correct interfaces... where to use capacitors, resistors,
inductors, etc... How to interface a PIC or 8051 with an LCD... How to
design a circuit to flash their memory... stuff like that...


I think there should be some techniques to make things a little  
easier... :-p


  I will warn you, please listen...DO NOT expect to pick this up  
overnight, or even in a few weeks.  There is a lot going on in those  
little components, and a lot of stuff to be aware of.  I admire your  
desire to "dive in" and start doing things, but it's very important  
to be aware of one's own capabilities and select your projects  
accordingly.  START SMALL...get a Basic Stamp and blink an LED, maybe  
make a low-frequency sine wave with a D/A converter, *then* move to a  
PIC or 8051 with an LCD.


  Seriously...you will be much less frustrated, and you'll destroy  
fewer components, if you start small.  Move quickly, but start small.


  As others have suggested, Horowitz & Hill's "The Art of  
Electronics" is absolutely fantastic.  You can also check out http:// 
www.allaboutcircuits.com/, it is very well-done and will get you off  
to a good start.  Don't be tempted to skip over things like Ohm's  
Law, etc., because you'll use it every day and it's important to  
understand the underlying concepts.


   -Dave

--
Dave McGuire
Port Charlotte, FL




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: popular licenses (free hardware)

2007-04-03 Thread John Griessen

al davis wrote:

Sometimes following legal documents to the letter has undesired 
harmful consequences.


This one points out the importance of using a popular license, 
rather than making up your own.


What's the popular one for hardware?

John G


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread al davis
On Tuesday 03 April 2007 09:51, Patrick Doyle wrote:
> > With gnucap you can use "fault", "modify", "param" to
> 1) How would I model a switch?

The switch device?  Type "S".  (Same as Spice)

> 2) Did I forget a switch when I built Gnucap that would
> enable an X windows plot, or does Gnucap only support ASCII
> plots? 

Gnucap only directly supports ASCII plots.  If you want a nice 
plot, use "gwave".  I plan to make it hook up automatically, 
but for now you need to do:

print ac ...
ac 20 20k oct 10 >some_file
!gwave some_file

(notice ... the "print" command, not "plot")


You should be able to do:

ac 20 20k oct 10 | gwave

but gwave doesn't work in a pipe.

> 3) I fetched the spice model for an MMBT3640 from 
> Fairchild, and saw that my simple circuit loaded up in
> ngspice, but when I attempt to load it in Gnucap, I get:
>
> * gnetlist -g spice-sdb -s -o mictest.ckt mictest.sch
> .MODEL  MMBT3640  PNP   LEVEL = 1   IS= 1.41E-15   ISE
>   ^ ? no match
>
> (Note that the "^ ? no match" points to the word PNP in the
> line above.

That's wierd.  It should work.  PNP should find the native BJT 
model.

> Does this mean I need to "attach" to an ngspice model
> somehow?  If so, how?

That kind of message could mean you need to "attach" a model, 
but it doesn't here.  It should work.  Send it to me and I will 
look at it.

How to attach a model is with the attach command. 

"attach my_model.so"

This is in the manual.  There is a tutorial supplied in the 
tarball, but it needs updating.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread John Griessen

DJ Delorie wrote:
Ales Hvezda wrote:

[snip]  are the tabs really that useful since they do sorta clutter things up.

Put the tabs in the toolbar?



I like the tabs.   They would be just as useful if in a toolbar section.  They 
will cause less clutter there than as a separate
PageManager window...

John Griessen


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread John Doty


On Apr 3, 2007, at 6:46 AM, Dan McMahill wrote:


Peter Clifton wrote:

On Sat, 2007-03-31 at 17:53 -0400, al davis wrote:
How about .,,..  transistor curve tracer.  How much do they  
cost?  Why doesn't every college EE department have one on every  
bench?
I think of the old 4th-year engineering project my supervisor has  
in his

office - a valve characterising circuit. Now that's far more retro ;)
There are still a few out there who understand valves - and build
valve-amps etc. (sadly I'm not one of them, but I have a few
collected... I own 3x 15kW plate dissipation triodes - from old RF
induction heating kit ;)


If you want to know enough to design audio amps with tubes, read  
the short appendix in Electronic Principles by Gray and Searle.


Of course if you're really serious you can pick up Langford-Smith,  
"The Radiotron Designer's Handbook", Fourth Edition, either the  
original or the recent reprint.



Assuming you know how to design with transistors, it is not that hard.


Especially MOS. I'm old enough that it works the other way: when I  
design analog MOS it brings up memories of my teenage years, playing  
with tubes. All transconductance and capacitance.


  There are a few issues here and there though that seem to be  
poorly documented though.  Sometime I'll post one of my favorites.


-Dan


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


John Doty  Noqsi Aerospace, Ltd.
[EMAIL PROTECTED]




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: simulation advice

2007-04-03 Thread Patrick Doyle

With gnucap you can use "fault", "modify", "param" to
interactively change component values.  You can also sweep them
with the "DC" command.  Spice can sweep sources.  Gnucap can
sweep any single value.

How about .. "R1 (2 4) foo"

param foo=10k
op
param foo=47l

That sounds _exactly_ like what I was looking for.  Having played a
little more with Gnucap, I have a few more beginner's questions...

1) How would I model a switch?
2) Did I forget a switch when I built Gnucap that would enable an X
windows plot, or does Gnucap only support ASCII plots?
3) I fetched the spice model for an MMBT3640 from Fairchild, and saw
that my simple circuit loaded up in ngspice, but when I attempt to
load it in Gnucap, I get:

* gnetlist -g spice-sdb -s -o mictest.ckt mictest.sch
.MODEL  MMBT3640  PNP   LEVEL = 1   IS= 1.41E-15   ISE
 ^ ? no match

(Note that the "^ ? no match" points to the word PNP in the line above.

Does this mean I need to "attach" to an ngspice model somehow?  If so, how?

Once again, thank you for your patience and your help.

--wpd


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread John Luciani

On 4/3/07, Felipe Balbi <[EMAIL PROTECTED]> wrote:

Hmm...
I think my question is could regard this thread...

Where can I learn how to design Electronic Circuits?? Is there a good
"quick start guide" book ?

I want to design some simple 8051 applications for my studying
kick-off... After that I would try to design better (more complicated)
circuits...

If you guys could help me with that... it would be really great...


I would vote for The Art of Electronics as well.
For a cookbook style try "The CMOS Cookbook" by Don Lancaster.
A good free resource is "Lessons in Electric Circuits" at
http://www.ibiblio.org/obp/electricCircuits/

(* jcl *)

--
http://www.luciani.org


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Felipe Balbi

Hmm... Nice...

Actually, I'm Engineering studying... so.. that's my 4-year studying...
But, I want to get it started now... Wanna understand how to design
the correct interfaces... where to use capacitors, resistors,
inductors, etc... How to interface a PIC or 8051 with an LCD... How to
design a circuit to flash their memory... stuff like that...


I think there should be some techniques to make things a little easier... :-p


Thanks for your notes...



On 4/3/07, John Doty <[EMAIL PROTECTED]> wrote:


On Apr 3, 2007, at 7:03 AM, Felipe Balbi wrote:

> Hmm...
> I think my question is could regard this thread...
>
> Where can I learn how to design Electronic Circuits?? Is there a good
> "quick start guide" book ?

Horowitz and Hill, "The Art of Electronics". Best ever.

>
> I want to design some simple 8051 applications for my studying
> kick-off... After that I would try to design better (more complicated)
> circuits...
>
> If you guys could help me with that... it would be really great...
>
>
> Thanks in advance
>
>
>
>
>
> On 4/3/07, Dan McMahill <[EMAIL PROTECTED]> wrote:
>> Peter Clifton wrote:
>> > On Sat, 2007-03-31 at 17:53 -0400, al davis wrote:
>> >
>> >>How about .,,..  transistor curve tracer.  How much do they
>> >>cost?  Why doesn't every college EE department have one on
>> >>every bench?
>> >
>> >
>> > I think of the old 4th-year engineering project my supervisor
>> has in his
>> > office - a valve characterising circuit. Now that's far more
>> retro ;)
>> >
>> > There are still a few out there who understand valves - and build
>> > valve-amps etc. (sadly I'm not one of them, but I have a few
>> > collected... I own 3x 15kW plate dissipation triodes - from old RF
>> > induction heating kit ;)
>>
>> If you want to know enough to design audio amps with tubes, read the
>> short appendix in Electronic Principles by Gray and Searle.  Assuming
>> you know how to design with transistors, it is not that hard.
>> There are
>> a few issues here and there though that seem to be poorly documented
>> though.  Sometime I'll post one of my favorites.
>>
>> -Dan
>>
>>
>> ___
>> geda-user mailing list
>> geda-user@moria.seul.org
>> http://www.seul.org/cgi-bin/mailman/listinfo/geda-user
>>
>
>
> --
> Best Regards,
>
> Felipe Balbi
> [EMAIL PROTECTED]
>
>
> ___
> geda-user mailing list
> geda-user@moria.seul.org
> http://www.seul.org/cgi-bin/mailman/listinfo/geda-user

John Doty  Noqsi Aerospace, Ltd.
[EMAIL PROTECTED]




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user




--
Best Regards,

Felipe Balbi
[EMAIL PROTECTED]


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread John Doty


On Apr 3, 2007, at 7:03 AM, Felipe Balbi wrote:


Hmm...
I think my question is could regard this thread...

Where can I learn how to design Electronic Circuits?? Is there a good
"quick start guide" book ?


Horowitz and Hill, "The Art of Electronics". Best ever.



I want to design some simple 8051 applications for my studying
kick-off... After that I would try to design better (more complicated)
circuits...

If you guys could help me with that... it would be really great...


Thanks in advance





On 4/3/07, Dan McMahill <[EMAIL PROTECTED]> wrote:

Peter Clifton wrote:
> On Sat, 2007-03-31 at 17:53 -0400, al davis wrote:
>
>>How about .,,..  transistor curve tracer.  How much do they
>>cost?  Why doesn't every college EE department have one on
>>every bench?
>
>
> I think of the old 4th-year engineering project my supervisor  
has in his
> office - a valve characterising circuit. Now that's far more  
retro ;)

>
> There are still a few out there who understand valves - and build
> valve-amps etc. (sadly I'm not one of them, but I have a few
> collected... I own 3x 15kW plate dissipation triodes - from old RF
> induction heating kit ;)

If you want to know enough to design audio amps with tubes, read the
short appendix in Electronic Principles by Gray and Searle.  Assuming
you know how to design with transistors, it is not that hard.   
There are

a few issues here and there though that seem to be poorly documented
though.  Sometime I'll post one of my favorites.

-Dan


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user




--
Best Regards,

Felipe Balbi
[EMAIL PROTECTED]


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


John Doty  Noqsi Aerospace, Ltd.
[EMAIL PROTECTED]




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


RE: gEDA-user: Design Lab Equipment

2007-04-03 Thread David Kerber
Most of us here have spent at least 4 years studying that!  Any basic EE
circuits textbook will get you started, but it's not nearly as easy as
getting started with programming.


> -Original Message-
> From: [EMAIL PROTECTED] 
> [mailto:[EMAIL PROTECTED] On Behalf Of Felipe Balbi
> Sent: Tuesday, April 03, 2007 9:04 AM
> To: gEDA user mailing list
> Subject: Re: gEDA-user: Design Lab Equipment
> 
> Hmm...
> I think my question is could regard this thread...
> 
> Where can I learn how to design Electronic Circuits?? Is 
> there a good "quick start guide" book ?
> 
> I want to design some simple 8051 applications for my 
> studying kick-off... After that I would try to design better 
> (more complicated) circuits...
> 
> If you guys could help me with that... it would be really great...
> 
> 
> Thanks in advance
> 
> 
> 
> 
> 
> On 4/3/07, Dan McMahill <[EMAIL PROTECTED]> wrote:
> > Peter Clifton wrote:
> > > On Sat, 2007-03-31 at 17:53 -0400, al davis wrote:
> > >
> > >>How about .,,..  transistor curve tracer.  How much do 
> they cost?  
> > >>Why doesn't every college EE department have one on every bench?
> > >
> > >
> > > I think of the old 4th-year engineering project my 
> supervisor has in 
> > > his office - a valve characterising circuit. Now that's far more 
> > > retro ;)
> > >
> > > There are still a few out there who understand valves - and build 
> > > valve-amps etc. (sadly I'm not one of them, but I have a few 
> > > collected... I own 3x 15kW plate dissipation triodes - 
> from old RF 
> > > induction heating kit ;)
> >
> > If you want to know enough to design audio amps with tubes, 
> read the 
> > short appendix in Electronic Principles by Gray and Searle. 
>  Assuming 
> > you know how to design with transistors, it is not that 
> hard.  There 
> > are a few issues here and there though that seem to be poorly 
> > documented though.  Sometime I'll post one of my favorites.
> >
> > -Dan
> >
> >
> > ___
> > geda-user mailing list
> > geda-user@moria.seul.org
> > http://www.seul.org/cgi-bin/mailman/listinfo/geda-user
> >
> 
> 
> --
> Best Regards,
> 
> Felipe Balbi
> [EMAIL PROTECTED]
> 
> 
> ___
> geda-user mailing list
> geda-user@moria.seul.org
> http://www.seul.org/cgi-bin/mailman/listinfo/geda-user
> 




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Stuart Brorson

Here's what crashes for me:

$ ~/local/bin/gnucap spice.netlist.wpd
gnucap> plot ac v(Vout)
gnucap> ac dec 1Hz 1MegHz

#Freq
Segmentation fault


1.  You need to do "op" before "ac".


I was thinking about this during my morning commute.  It's fine that
Gnucap wants an op performed before doing anything else.  However, it
shouldn't segfault if the user forgets or doesn't know this.  Rather,
Gnucap should do a check before running an analysis to verify that the
operating point has already been computed and is known.  If it's
unknown, then Gnucap should print out a warning like "No operating
point -- you probably need to run op".


2. The AC line is incorrect.  The "Hz" is ignored, so you asked
for 1 step per decade, with a start frequency of 1 meg, no stop
frequency.  It assumes the stop frequency is also 1 meg, so you
get a single point.


Perhaps this is what caused the segfault?  Again, a little args
checking prior to running an analysis would help clean this stuff up.

Maybe I'll take this up as a project during the upcoming code sprint.

Stuart


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: TwoStageAmp example

2007-04-03 Thread Patrick Doyle

> Also, FWIW, the 3-29 snapshot crashes when I try to analyze
> the netlist from Stuarts TwoStageAmp example... at least it
> does when I try to run the version I built today. I have,
> perhaps, attached the netlist for your review. If it works
> fine for you, then I'll try rebuilding and paying more
> attention to what's going on.

Any crash is a bug, but it didn't crash for me.  Your commands
are incorrect.  Mine acted as expected for the input you gave.

You did not supply "Simulation.cmd".  I made an empty one.


Oops -- sorry 'bout that chief.  It might be attached here (renamed as
Simulation.cmdx since gmail won't let me attach it named as
"Simulation.cmd").  Perhaps that is what caused the segmentation fault
-- or perhaps it is something in my build environment (a standard FC6
box, Gnucap configured only with a --prefix option).


>
> Here's what crashes for me:
>
> $ ~/local/bin/gnucap spice.netlist.wpd
> gnucap> plot ac v(Vout)
> gnucap> ac dec 1Hz 1MegHz
>
> #Freq
> Segmentation fault

1.  You need to do "op" before "ac".  This is a difference
between gnucap and spice.  If you don't your AC results will be
an accurate representation of what the circuit does with the
power off.  AC uses the last operating point from op, dc, or
tran.  Spice always forces an op, and uses it to set up for AC.
The gnucap way is more flexible.  It adds capabilities that are
important to an analog designer.


Yeah, I noticed that when I reread the documentation.  So I tried the
"op" and got the same segmentation fault.  If it still doesn't crash
for you, then I'll dig into it on my end.

Thanks again for the help.

--wpd


Simulation.cmdx
Description: Binary data


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Felipe Balbi

Hmm...
I think my question is could regard this thread...

Where can I learn how to design Electronic Circuits?? Is there a good
"quick start guide" book ?

I want to design some simple 8051 applications for my studying
kick-off... After that I would try to design better (more complicated)
circuits...

If you guys could help me with that... it would be really great...


Thanks in advance





On 4/3/07, Dan McMahill <[EMAIL PROTECTED]> wrote:

Peter Clifton wrote:
> On Sat, 2007-03-31 at 17:53 -0400, al davis wrote:
>
>>How about .,,..  transistor curve tracer.  How much do they
>>cost?  Why doesn't every college EE department have one on
>>every bench?
>
>
> I think of the old 4th-year engineering project my supervisor has in his
> office - a valve characterising circuit. Now that's far more retro ;)
>
> There are still a few out there who understand valves - and build
> valve-amps etc. (sadly I'm not one of them, but I have a few
> collected... I own 3x 15kW plate dissipation triodes - from old RF
> induction heating kit ;)

If you want to know enough to design audio amps with tubes, read the
short appendix in Electronic Principles by Gray and Searle.  Assuming
you know how to design with transistors, it is not that hard.  There are
a few issues here and there though that seem to be poorly documented
though.  Sometime I'll post one of my favorites.

-Dan


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user




--
Best Regards,

Felipe Balbi
[EMAIL PROTECTED]


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Looking for a project

2007-04-03 Thread Dan McMahill

al davis wrote:

FM stereo generator.  The broadcast ones are very expensive.  
You can buy one made for a lab cheap.  It sort of works.  A 
real broadcast one is simple but much more expensive.


If anyone feels like building one of these, I can give some extra 
guidance on analog implementations and how to test some of the 
parameters using relatively basic instrumentation.  Personally I think 
this is a good project for dsp though.


-Dan


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Design Lab Equipment

2007-04-03 Thread Dan McMahill

Peter Clifton wrote:

On Sat, 2007-03-31 at 17:53 -0400, al davis wrote:

How about .,,..  transistor curve tracer.  How much do they 
cost?  Why doesn't every college EE department have one on 
every bench? 



I think of the old 4th-year engineering project my supervisor has in his
office - a valve characterising circuit. Now that's far more retro ;)

There are still a few out there who understand valves - and build
valve-amps etc. (sadly I'm not one of them, but I have a few
collected... I own 3x 15kW plate dissipation triodes - from old RF
induction heating kit ;)


If you want to know enough to design audio amps with tubes, read the 
short appendix in Electronic Principles by Gray and Searle.  Assuming 
you know how to design with transistors, it is not that hard.  There are 
a few issues here and there though that seem to be poorly documented 
though.  Sometime I'll post one of my favorites.


-Dan


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Peter Clifton
On Mon, 2007-04-02 at 23:41 -0400, Ales Hvezda wrote:
> [snip]
> >> I've worked out all the details yet (read: hardly fully functional),
> >> but the implementation looks fairly straightforward.  The question is,
> >> are the tabs really that useful since they do sorta clutter things up.
> >
> >   Hey, I have to say, that's pretty darned cool.  I'd say it'd be  
> >very useful.
> >
> 
>   Don't get too excited yet, there's still a ton of implementation
> work to do to get this out there.  And of course, how do the tabs fit
> into the hierarchy mechanism.

They don't really - they just show open files. (Which is why I partially
removed the hierarchy mechanism for my testing).

Peter C.




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread Peter Clifton
On Mon, 2007-04-02 at 23:26 -0400, Ales Hvezda wrote:
> 
> 
> I've worked out all the details yet (read: hardly fully functional),
> but the implementation looks fairly straightforward.  The question is,
> are the tabs really that useful since they do sorta clutter things up.

Hah - I tried that over the vacation too.

I believe you're mis-using the GtkNotebook. It is supposed to have the
switched page inside it - it doesn't look quite right blank contents, as
it draws a frame to show its "contents". (It doesn't look like the page
is what tabs are selecting).

To do it the "Gtk" way, it needs a Drawing-area per tab, which isn't
what the gschem data-structures are happy with. By current code, it
would probably need a "TOPLEVEL" per tab. I did almost get it working
before, but it was a mess.

The tabs themselves take up space. Perhaps the navigation on the toolbar
makes the existence of multiple pages obvious in a more space efficient
way.

Still - I think we should aim for future data-structures to support
multiple active Drawing-areas per TOPLEVEL.

-- 
Peter Clifton

Electrical Engineering Division,
Engineering Department,
University of Cambridge,
9, JJ Thomson Avenue,
Cambridge
CB3 0FA

Tel: +44 (0)7729 980173 - (No signal in the lab!)



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Re: Icarus Verilog PLI example: PLI_INT32 vs static int

2007-04-03 Thread Günter Dannoritzer
Stephen Williams wrote:
> 
> "int" is PLI_INT32 in your case. The "static" part is something
> else altogether and perhaps more germain to your problem. You
> don't say what's crashing, Stu's example or mine, etc., so we
> have very little to go on.

Sorry for being so vague. I was more curious about the need for the static.

I am trying to run the pow() example from the Stu book. Originally I
typed it off and added my own test bench. That crashed right away with a
segmentation fault.

Next I took the example from the accompanied CD.

I modified the vpi_user.c to not needing the other application that
comes along with that chapter 2 example and compiled it with:

  iverilog-vpi pow_vpi.c vpi_user.c
  iverilog -opow_test.vvp pow_test.v
  vvp -M. mpow_vpi pow_test.vvp

The output I am getting is:

 $pow PLI application is being used.

 Segmentation fault

What is odd, even after changing the definition and the implementation
of the calltf, sizetf, compiletf functions I am getting warnings about
incompatible pointer types.

For example the calltf function I changed from:

  PLI_INT32  PLIbook_PowCalltf(PLI_BYTE8 *user_data);

to:

  static int PLIbook_PowCalltf(PLI_BYTE8 *user_data);

When compiling I am still getting:

 > iverilog-vpi pow_vpi.c vpi_user.c
 Compiling pow_vpi.c...
 pow_vpi.c: In function ‘PLIbook_pow_register’:
 pow_vpi.c:46: warning: assignment from incompatible pointer type
 pow_vpi.c:47: warning: assignment from incompatible pointer type
 pow_vpi.c:48: warning: assignment from incompatible pointer type
 Compiling vpi_user.c...
 Making pow_vpi.vpi from  pow_vpi.o vpi_user.o...

Line 46, 47, and 48 are:

  tf_data.calltf  = PLIbook_PowCalltf;
  tf_data.compiletf   = PLIbook_PowCompiletf;
  tf_data.sizetf  = PLIbook_PowSizetf;

I even removed the .o and the .vpi file to make sure it compiles again,
but still get the warning.

The example can be downloaded from this page:

http://sutherland-hdl.com/pli_book_files/pli_handbook_examples_unix.tar.gz

Any idea what I am doing wrong?

Thanks for the help.

Cheers,

Guenter



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Multiple open pages in gschem

2007-04-03 Thread KURT PETERS
I really like the tabs as well.  Maybe it's possible to change the font size 
to shrink them a little if people think they're too big?

Regards,
Kurt
[snip]
> There is yet another page-navigation metaphor available to us.. the
> tabbed notebook, but to do this properly (as I discovered over the
> summer), requires major data-structure changes.
>

H... Here's something I hacked up a few nights ago:

http://geda.seul.org/misc/gschem-tabs.png

I've worked out all the details yet (read: hardly fully functional),
but the implementation looks fairly straightforward.  The question is,
are the tabs really that useful since they do sorta clutter things up.

-Ales




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Several PCB versions on 1 system

2007-04-03 Thread ST de Feber
Found the issue !

library-newlib = ./footprints:~/data/gaf/footprints/sdf

The  ./footprints: seems to be crucial !

grtz

Simon







___ 
All New Yahoo! Mail – Tired of unwanted email come-ons? Let our SpamGuard 
protect you. http://uk.docs.yahoo.com/nowyoucan.html


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user