Re: gEDA-user: Strange bug in PCB20100929

2010-11-08 Thread DJ Delorie

Thanks!


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Strange bug in PCB20100929

2010-11-08 Thread Stephen Ecob
Problem fixed with the following patch, now listed on the sourceforge
tracker as #3105670

diff --git a/src/djopt.c b/src/djopt.c
index 240b86b..7a1a315 100644
--- a/src/djopt.c
+++ b/src/djopt.c
@@ -2851,6 +2851,9 @@ padcleaner ()
  if (layer_type[l->layer] != layerflag)
continue;

+ if (p == l->line)
+   continue;
+
  empty_rect (&r);
  close = p->Thickness / 2 + 1;
  add_point_to_rect (&r, p->Point1.X, p->Point1.Y,


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: PCB+GL Testers (please test)

2010-11-08 Thread Peter Clifton
On Sat, 2010-11-06 at 21:42 +0100, Frank Bergmann wrote:

> Segmentation fault happens in hidgl_clean_unassigned_stencil() 
> (hid/common/hidgl.c:1069) and backtrace goes into 
> /usr/lib/dri/r300_dri.so, so maybe its a problem in the driver.

Can you try again with the latest code (I just pushed it now). Default
compile will give you VBOs, but with data uploaded wih glBufferSubData.
That was about the fastest I could manage on i965.

Tweakable options are:

Uncommenting
// buffer->use_vbo = false;

or

removing / commenting:

buffer->use_map = false;

from hid/common/hidgl.c's hidgl_init_triangle_array()

use_vbo = false; will give you arrays (always)
Removing use_map = false; will give you mapping with use_vbo, or arrays without.

Regards,

-- 
Peter Clifton

Electrical Engineering Division,
Engineering Department,
University of Cambridge,
9, JJ Thomson Avenue,
Cambridge
CB3 0FA

Tel: +44 (0)7729 980173 - (No signal in the lab!)
Tel: +44 (0)1223 748328 - (Shared lab phone, ask for me)



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: FPGA, uC, and JTAG

2010-11-08 Thread DJ Delorie

> Yes.  Shameless plug (and almost back OT, since the board was
> laid out with pcb): http://recycle.lbl.gov/llrf4/

Specs for 3AN jtag-based bitstream loader?

The 3AN xsvf is funny - it programs an SPI device into the 3AN fabric,
then uses it to program the on-chip flash, then resets the chip!


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gRX OS board

2010-11-08 Thread DJ Delorie

> Broadcom makes some really nice, fully integrated switch chips

Micrel has a full line of similar chips - five ports, one MII and the
rest PHY, plus SPI.  Digikey stocks them.

> Unfortunately I doubt a one-man shop can get the time of day from BCM
> and they keep their docs locked up like a virgin princess.

Yup.  I suspect Micrel would be more useful in that sense :-)


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: stupid symbol problems - slot

2010-11-08 Thread John Doty

On 11/08/2010 02:54 PM, KURT PETERS wrote:

Shouldn't "Close" just close the page you're currently in?
   

That's Page->Close (pc).


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: FPGA, uC, and JTAG

2010-11-08 Thread Larry Doolittle
DJ -

On Mon, Nov 08, 2010 at 05:55:04PM -0500, DJ Delorie wrote:
> > A JTAG bit-banger is not hard to write (I've written at least two of
> > them), and a lot smaller than an xsvf file player.
> Can you bit-bang a spartan 3 that way?

Yes.  Shameless plug (and almost back OT, since the board was
laid out with pcb): http://recycle.lbl.gov/llrf4/

   - Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gRX OS board

2010-11-08 Thread DJ Delorie

> > Want FreeRTOS?  Or one of these boards?
> 
>One of those boards.  I run lots of FreeRTOS.  (ARM7, Philips
>LPC2xxx)

I'll keep that in mind.

> > Other minor peripherals: Consumer IR (tv remote) receiver,
> 
>Eh...lots of stuff has IR but nothing ever seems to use it. ;)

I had a few pins left over, had to think of *something* to put on
them, and a friend of mine had just asked for help debugging an IR
repeater module...  Hence the ambient light sensor, thermistor, and
IR.

> > For my second RX project, I was thinking of a board with an ethernet
> > switch chip (the RX has MII) and a USB hub chip, plus microsd and
> > sdram.  That gives you a home firewall/appliance/server box with 2Gb
> > of "disk space" and 64 Mb of RAM.
> 
>This all sounds like lots of fun to me.  Maybe a hair more SDRAM 
> might be nice though.

The chip supports up to 128 Mbyte directly.  The board accepts up to a
64 Mbyte chip (32Mx16bit); You'd need to pair two 64Mx8 chips to fill
the available address space.  The SDRAM (SDR, not DDR) is one of the
most expensive parts of the board; I put only 32Mb on the first one
because it was $25 cheaper than the 64Mb chip.

The sdram controller on the RX is naive, though.  It does a full
ras/cas cycle to read each word, so it takes 5 cycles per read (no
burst).  Combine that with a half-speed external bus (50 MHz) and
you're talking a 10 mhz "read rate" (20 mbyte/sec max throughput, 40
for the 32-bit bus on the BGA version).  The RX chip allows you to
overclock the external bus but I don't know how reliable that would be
with the sdram chip on the same bus as the fpga.

>I especially like the ability to power-cycle the board without 
> re-enumerating on the USB.  That's good thinking.

Only the FT232R does that.  The native USB still resets.

>Has anyone done up a nice Forth system for that processor
> architecture?  I might attempt it if I can get a cheap development
> board.  (it'd have to be SUPER cheap the way things are going down
> here lately, though)

If you want to try for the contest, they'll give you an RX-RDK board
free.  No sdram on it though.  Costs $99 otherwise.

How much stuff do you *need* on a "super cheap development board" ?
All you really need to develop RX code is the chip ($18) and an FT232R
($4.50 plus $1 for the connector).  Maybe a 3.3v regulator ($0.50).
Adding SDRAM only costs as much as the sdram chip itself.

I can hook you up with a simulator and development tools if you want
to play with it...


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: stupid symbol problems - slot

2010-11-08 Thread John Doty

On 11/08/2010 02:54 PM, KURT PETERS wrote:

Why does gschem have both a"FILE:QUIT"  and a"FILE:CLOSE"  menu
option.  It seems like close and quit do exactly the same thing.
   
They do different things if you have more than one window open. But I 
think most of us tend to have one window with possibly more than one 
file open behind it. In that case, they have the same effect.



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: stupid symbol problems - slot

2010-11-08 Thread John Doty

On 11/08/2010 02:54 PM, KURT PETERS wrote:

Real problem:  I'm creating a symbol for spice netlisting that has two
slots.
That doesn't work right. Don't try it. The problem is that pinseq is 
overloaded: it identifies pin mapping for slots, but also pin order for 
spice netlisting.



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: FPGA, uC, and JTAG

2010-11-08 Thread DJ Delorie

> A JTAG bit-banger is not hard to write (I've written at least two of
> them), and a lot smaller than an xsvf file player.

Can you bit-bang a spartan 3 that way?


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gRX OS board

2010-11-08 Thread Ben Jackson
On Mon, Nov 08, 2010 at 05:05:37PM -0500, DJ Delorie wrote:
> 
> For my second RX project, I was thinking of a board with an ethernet
> switch chip (the RX has MII)

Broadcom makes some really nice, fully integrated switch chips which
would be perfect for this application.  You can (optionally) hang off
the slow speed "admin" port and divert packets there for fancy stuff.
The switch itself is almost fully automated, including configuring phys
and implementing all kinds of policies (like VLAN) with only SPI config.
Unfortunately I doubt a one-man shop can get the time of day from BCM
and they keep their docs locked up like a virgin princess.

-- 
Ben Jackson AD7GD

http://www.ben.com/


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gRX OS board

2010-11-08 Thread Dave McGuire

On 11/8/10 5:05 PM, DJ Delorie wrote:

At the moment, my board is running FreeRTOS when it runs an OS at all.


Oooh.  WANT!


Want FreeRTOS?  Or one of these boards?


  One of those boards.  I run lots of FreeRTOS.  (ARM7, Philips LPC2xxx)


I have three more blanks, but they run about $135 in parts and stuff
plus $50 for the pcb (my cost).  I've been trying to finish the
initial round of hardware testing before making any more, hence the
verilog questions - I don't know if I *can* successfully drive a DVI
monitor yet, for example.  I haven't tested the PS/2 interfaces yet.
Everything else seems to work fine.  The FPGA test program, for
example, is a FreeRTOS app that has a console command line (FT232R)
that downloads the fpga file from my web server into the sdram, then
programs the fpga.  The backup app reads the fpga file off the microsd
(FAT filesystem) for when I screw up and hoze the memory bus.


  That sounds like a really nice setup.  I'm sure you'll have no 
problem driving the DVI interface.



Other minor peripherals: Consumer IR (tv remote) receiver,


  Eh...lots of stuff has IR but nothing ever seems to use it. ;)


ambient
light sensor, temperature sensor, stereo audio out, wall power voltage
monitor (was going to monitor current also, but the design is flawed),
second serial port (ttl header).


  That's pretty cool stuff.


The board has three switching power supplies and runs of USB power
*or* 8-16 VDC wall power (although not everything gets power from usb,
like the PS/2 connectors, due to the limited current available).
Actually, the FT232R remains running if either power is there, so you
can power cycle the board without losing the usb connection.  It can
be reprogrammed using the FT232R (gRX mode) or firmware can be
downloaded over the native USB port.  When I'm developing, I usually
have two USB cables connected to it - one for the console (includes
remote reset and mode control) and a second for the USB firmware
download path.

For my second RX project, I was thinking of a board with an ethernet
switch chip (the RX has MII) and a USB hub chip, plus microsd and
sdram.  That gives you a home firewall/appliance/server box with 2Gb
of "disk space" and 64 Mb of RAM.


  This all sounds like lots of fun to me.  Maybe a hair more SDRAM 
might be nice though.


  I especially like the ability to power-cycle the board without 
re-enumerating on the USB.  That's good thinking.


  Has anyone done up a nice Forth system for that processor 
architecture?  I might attempt it if I can get a cheap development 
board.  (it'd have to be SUPER cheap the way things are going down here 
lately, though)


-Dave

--
Dave McGuire
Port Charlotte, FL


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: FPGA, uC, and JTAG

2010-11-08 Thread Larry Doolittle
On Mon, Nov 08, 2010 at 05:24:21PM -0500, DJ Delorie wrote:
> True, but the xsvf file is much bigger than a simple serial bitstream,
> and the xsvf player is bigger than a raw spi dump...

A JTAG bit-banger is not hard to write (I've written at least two of
them), and a lot smaller than an xsvf file player.  The one I use routinely
now takes a small fraction of the 8K program space of an 8051 derivative
(CY7C68013).  The input file is the straight bitfile.  Granted it will
run a little slower than SPI, because unless you're exceptionally lucky
it won't be hardware accelerated.  It would be fun to take a crack at
the bit speed limit on that 96 MHz processor, though.

  -  Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: FPGA, uC, and JTAG

2010-11-08 Thread DJ Delorie

True, but the xsvf file is much bigger than a simple serial bitstream,
and the xsvf player is bigger than a raw spi dump...


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: FPGA, uC, and JTAG (was Re: icarus, fork, and recursive tasks)

2010-11-08 Thread Larry Doolittle
On Mon, Nov 08, 2010 at 05:09:30PM -0500, DJ Delorie wrote:
> > Just boot the FPGA from the processor.  That's what I always do.
> > When I have a processor, that is.  The only overhead is the four
> > GPIO pins attached to the FPGA JTAG, and those can be put to good
> > use after booting as well.
> 
> I used the SPI pins, just streamed the bitstream at full speed.  The
> RX board uses jtag, so I have to convert the bitstream to XSVF and
> play it into the chip, but I don't have to include that code (or
> bitstream) in any normal apps.

I always like the satisfaction of "seeing" the JTAG ID of the chip
before blindly bit-banging a megabyte to a chip that might not really
be there.  I also use JTAG to access registers on the running chip;
it works about as well as SPI in that regard.

  - Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> Just boot the FPGA from the processor.  That's what I always do.

I did that on my last FPGA design.  Wanted an excuse to play with the
3AN :-)

> When I have a processor, that is.  The only overhead is the four
> GPIO pins attached to the FPGA JTAG, and those can be put to good
> use after booting as well.

I used the SPI pins, just streamed the bitstream at full speed.  The
RX board uses jtag, so I have to convert the bitstream to XSVF and
play it into the chip, but I don't have to include that code (or
bitstream) in any normal apps.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gRX OS board

2010-11-08 Thread DJ Delorie

> > At the moment, my board is running FreeRTOS when it runs an OS at all.
> 
>Oooh.  WANT!

Want FreeRTOS?  Or one of these boards?

(also see http://www.renesasrulz.com/community/rx-contest - although
that board is 3x bigger and has no sdram, dvi, or ps/2)

I have three more blanks, but they run about $135 in parts and stuff
plus $50 for the pcb (my cost).  I've been trying to finish the
initial round of hardware testing before making any more, hence the
verilog questions - I don't know if I *can* successfully drive a DVI
monitor yet, for example.  I haven't tested the PS/2 interfaces yet.
Everything else seems to work fine.  The FPGA test program, for
example, is a FreeRTOS app that has a console command line (FT232R)
that downloads the fpga file from my web server into the sdram, then
programs the fpga.  The backup app reads the fpga file off the microsd
(FAT filesystem) for when I screw up and hoze the memory bus.

Other minor peripherals: Consumer IR (tv remote) receiver, ambient
light sensor, temperature sensor, stereo audio out, wall power voltage
monitor (was going to monitor current also, but the design is flawed),
second serial port (ttl header).

The board has three switching power supplies and runs of USB power
*or* 8-16 VDC wall power (although not everything gets power from usb,
like the PS/2 connectors, due to the limited current available).
Actually, the FT232R remains running if either power is there, so you
can power cycle the board without losing the usb connection.  It can
be reprogrammed using the FT232R (gRX mode) or firmware can be
downloaded over the native USB port.  When I'm developing, I usually
have two USB cables connected to it - one for the console (includes
remote reset and mode control) and a second for the USB firmware
download path.

For my second RX project, I was thinking of a board with an ethernet
switch chip (the RX has MII) and a USB hub chip, plus microsd and
sdram.  That gives you a home firewall/appliance/server box with 2Gb
of "disk space" and 64 Mb of RAM.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: stupid symbol problems - slot

2010-11-08 Thread KURT PETERS
   I'm using geda gschem 1.6.1 20100214
   I have one real problem and one annoyance:
   Real problem:  I'm creating a symbol for spice netlisting that has two
   slots. Of course, it has two parts, but only 2 pwr pins.  When I change
   the "slots" to slot=2, it gets two pin numbers right and two wrong.
   Could someone take a look and see what I'm doing wrong?  It's unclear
   to me how the spice netlister will use pinseq, but gschem uses
   "pinnumber" to label the pins.  How does this work?  Of course, it's
   complicated by the fact that the net attribute should also be used, and
   how would the spice netlister handle that?
   Here's the .sym file:
   v 20091004 2
   L 200 1100 200 300 3 0 0 0 -1 -1
   L 200 1100 800 700 3 0 0 0 -1 -1
   T 500 1200 5 10 1 0 0 0 1
   device=OPA2690
   L 800 700 200 300 3 0 0 0 -1 -1
   L 300 950 300 850 3 0 0 0 -1 -1
   L 250 900 350 900 3 0 0 0 -1 -1
   L 250 500 350 500 3 0 0 0 -1 -1
   P 0 900 200 900 1 0 0
   {
   T 150 950 5 8 1 1 0 6 1
   pinnumber=2
   T 150 850 5 8 0 1 0 8 1
   pinseq=1
   T 250 900 9 8 0 1 0 0 1
   pinlabel=in+
   T 250 900 5 8 0 1 0 2 1
   pintype=in
   }
   P 0 500 200 500 1 0 0
   {
   T 150 550 5 8 1 1 0 6 1
   pinnumber=1
   T 150 450 5 8 0 1 0 8 1
   pinseq=2
   T 250 500 9 8 0 1 0 0 1
   pinlabel=in-
   T 250 500 5 8 0 1 0 2 1
   pintype=in
   }
   P 800 700 1200 700 1 0 1
   {
   T 900 750 5 8 1 1 0 0 1
   pinnumber=14
   T 900 650 5 8 0 1 0 2 1
   pinseq=5
   T 850 700 9 8 0 1 0 6 1
   pinlabel=out
   T 850 700 5 8 0 1 0 8 1
   pintype=out
   }
   T 1200 3000 8 10 1 1 0 0 1
   refdes=X?
   T 500 1400 5 10 1 0 0 0 1
   description=operational amplifier
   T 500 2400 5 10 1 0 0 0 1
   numslots=2
   T 500 1600 5 10 1 0 0 0 1
   symversion=0.1
   P 300 400 300 0 1 0 1
   {
   T 350 300 5 8 1 1 0 0 1
   pinnumber=3
   T 350 300 5 8 0 1 0 2 1
   pinseq=6
   T 200 200 9 8 1 1 90 3 1
   pinlabel=DISA
   T 300 500 5 8 0 1 0 3 1
   pintype=in
   }
   T 495 1795 8 10 1 0 0 0 1
   slotdef=1:1,2,3,14
   T 495 1995 8 10 1 0 0 0 1
   slotdef=2:7,6,5,8
   T 495 2195 8 10 1 0 0 0 1
   slot=1
   T 495 2795 8 10 1 0 0 0 1
   net=+Vs:11
   T 495 2595 8 10 1 0 0 0 1
   net=-Vs:4
   T 1995 1195 8 10 1 0 0 0 1
   value=opa2690
   Annoyance:
   Why does gschem have both a "FILE:QUIT" and a "FILE:CLOSE" menu
   option.  It seems like close and quit do exactly the same thing.
   Shouldn't "Close" just close the page you're currently in?
   Kurt


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Larry Doolittle
DJ -

On Mon, Nov 08, 2010 at 04:46:35PM -0500, DJ Delorie wrote:
> > That's unfortunate. I've been getting some good mileage out of the 
> > XC3S200A-VQ100 parts. Too bad Xilinx hasn't made that size available in 
> > the 3AN family. I suppose that you chose the AN variant because you 
> > wanted to avoid dealing with the configuration memory.
> And board space.

Just boot the FPGA from the processor.  That's what I always do.
When I have a processor, that is.  The only overhead is the four
GPIO pins attached to the FPGA JTAG, and those can be put to good
use after booting as well.

   - Larry


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> Interesting. I'm looking for an inexpensive embedded processor with 
> SDRAM and moderate DSP capability. This may bear closer inspection.

It has a single-precision FPU and a bunch of DSP instructions in it.
FPU insns only take a few cycles each.

http://www.rxmcu.com/USA/

> That's unfortunate. I've been getting some good mileage out of the 
> XC3S200A-VQ100 parts. Too bad Xilinx hasn't made that size available in 
> the 3AN family. I suppose that you chose the AN variant because you 
> wanted to avoid dealing with the configuration memory.

And board space.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gRX OS board

2010-11-08 Thread Dave McGuire

On 11/8/10 4:16 PM, DJ Delorie wrote:

The idea was to put peripherals on that were useful for people who
*were* developing an OS, as opposed to the standard offerings which
target embedded use (motor control, appliances, etc).  After all,
today's embedded MCUs are more powerful than yesterday's PCs, why not
design a PC that uses an MCU instead of an x86?  Maybe I'll put a DOS
clone on it and reminisce about the slow old days... ;-)

So, instead of RS232 and LCD, it's got PS/2 and DVI.  Instead of a
tiny internal RAM and flash, it's got a huge external RAM and microSD.
USB-A instead of USB-B.  Etc.

At the moment, my board is running FreeRTOS when it runs an OS at all.


  Oooh.  WANT!

  -Dave

--
Dave McGuire
Port Charlotte, FL


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Eric Brombaugh

On 11/08/2010 02:00 PM, DJ Delorie wrote:


The RX is Renesas's latest chip offering, and they're trying to make
it obsolete a wide range of other chips.  So far so good.


Interesting. I'm looking for an inexpensive embedded processor with 
SDRAM and moderate DSP capability. This may bear closer inspection.



What size of 3AN are you using on it?


Smallest - the XS3S50AN.  The 3AN is only available in one
configuration per package size


That's unfortunate. I've been getting some good mileage out of the 
XC3S200A-VQ100 parts. Too bad Xilinx hasn't made that size available in 
the 3AN family. I suppose that you chose the AN variant because you 
wanted to avoid dealing with the configuration memory.


Eric

(hoping this isn't too far OT yet)


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gRX OS board

2010-11-08 Thread DJ Delorie

> What kind of OS are you developing?

Er, "not".

The idea was to put peripherals on that were useful for people who
*were* developing an OS, as opposed to the standard offerings which
target embedded use (motor control, appliances, etc).  After all,
today's embedded MCUs are more powerful than yesterday's PCs, why not
design a PC that uses an MCU instead of an x86?  Maybe I'll put a DOS
clone on it and reminisce about the slow old days... ;-)

So, instead of RS232 and LCD, it's got PS/2 and DVI.  Instead of a
tiny internal RAM and flash, it's got a huge external RAM and microSD.
USB-A instead of USB-B.  Etc.

At the moment, my board is running FreeRTOS when it runs an OS at all.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: gRX OS board

2010-11-08 Thread John Griessen

On 11/08/2010 02:37 PM, Eric Brombaugh wrote:

On 11/08/2010 12:32 PM, DJ Delorie wrote:



Whatcha making? Looks like some sort of FPGA-based video stuff...


It is FPGA-based video stuff.

http://www.delorie.com/electronics/rx/os-board.html


What kind of OS are you developing?
Something with video as a primitive OS function?

John


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> Does the 3AN really meet the DVI spec without a cable driver?

For short cables, yes.  It has native TMDS outputs.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> Sweet little board - lots of useful I/O. RX62N processor looks
> pretty well equipped too.



The RX is Renesas's latest chip offering, and they're trying to make
it obsolete a wide range of other chips.  So far so good.  It's a
32-bit (16 general registers) risc/cisc hybrid that gets up to 165
DMIPS or 2.25 coremarks/mhz (with gcc, of course).  The 62N comes with
512K of flash and 96k of ram, runs at 100 MHz, includes FPU, ethernet,
usb, sdram, uart, TFT-dma, A/D, D/A, and a bunch of other things
(motor control, spi, i2c, can, lin, interrupts, timers, etc), and
still costs only $18 at digikey (they're available now).  Available in
144 and 100 pin TQFP, plus 176, 145, and 84 pad BGA/LGA.

The 610 ($23) has fewer peripherals (no enet/usb/sdram) but comes with
up to 2mb flash and 128k ram.  The 62T ($11) has less memory but extra
timers for high-end motor control.

They're planning on coming out with a RX-200 series in the future that
will be smaller (down to 5x5mm) and cheaper.

http://search.digikey.com/scripts/DkSearch/dksus.dll?Cat=2556109&k=rx600



My board basically just hooked connectors up to all the RX62N's
internal peripherals :-)

> What size of 3AN are you using on it?

Smallest - the XS3S50AN.  The 3AN is only available in one
configuration per package size; to get more blocks you need to get
more pins (well, balls) also.  I'm hoping the 50 is big enough to
squeeze in all the logic I need, the next size up is BGA.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Ben Jackson
On Mon, Nov 08, 2010 at 02:32:08PM -0500, DJ Delorie wrote:
> 
> In theory, the 3AN can drive the DVI at 1024x768x24 but we'll see how
> much logic I can actually cram into it.

Does the 3AN really meet the DVI spec without a cable driver?

-- 
Ben Jackson AD7GD

http://www.ben.com/


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Eric Brombaugh

On 11/08/2010 12:32 PM, DJ Delorie wrote:



Whatcha making? Looks like some sort of FPGA-based video stuff...


It is FPGA-based video stuff.

http://www.delorie.com/electronics/rx/os-board.html


Sweet little board - lots of useful I/O. RX62N processor looks pretty 
well equipped too.


What size of 3AN are you using on it?

Eric


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> Whatcha making? Looks like some sort of FPGA-based video stuff...

It is FPGA-based video stuff.

http://www.delorie.com/electronics/rx/os-board.html

The testbed simulates the RX/62N memory bus so I can test the FPGA
code before downloading it.  So far, I'm getting the same results on
gtkwave and my logic analyzer :-)

At the moment I'm using the DVI output on the board just for test data
from the fpga (three of the pins are an SPI-style output that the
logic anaylzer software can interpret).  I hacked a cheap DVI cable in
half so I can connect other things to that connector, so I'll probably
try a simple monochrome RCA video signal first.

In theory, the 3AN can drive the DVI at 1024x768x24 but we'll see how
much logic I can actually cram into it.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Eric Brombaugh

On 11/08/2010 11:56 AM, DJ Delorie wrote:



Just for giggles I ran that through my copy of Modelsim.


Try the whole thing:

http://www.delorie.com/tmp/play2sim.tar.gz
http://www.delorie.com/tmp/play2sim.png


That worked too.

Whatcha making? Looks like some sort of FPGA-based video stuff...

Eric


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> This is bragging about that huge LCD screen you have again :-)

That window spanned three monitors.  In theory, even with one monitor,
you can create a desktop larger than your viewport and screenshot huge
windows - you just can't see what you're capturing until you view the
image :-)

> That's really fabulous to be able to quickly share a screenfull of
> output data like that!  Which viewer do you use?

That's gtkwave.


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread John Griessen

On 11/08/2010 12:56 PM, DJ Delorie wrote:


Try the whole thing:

http://www.delorie.com/tmp/play2sim.tar.gz





http://www.delorie.com/tmp/play2sim.png

This is bragging about that huge LCD screen you have again :-)

That's really fabulous to be able to quickly share a screenfull of output data
like that!  Which viewer do you use?   I've still never gotten recent versions 
of gwave to compile...

John G



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> Just for giggles I ran that through my copy of Modelsim.

Try the whole thing:

http://www.delorie.com/tmp/play2sim.tar.gz
http://www.delorie.com/tmp/play2sim.png


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> http://imagebin.org/122374
> 
> Seems to work fine there.

Works in icarus now that I've taken out the -g1 command line option.
I've updated my full testbed to use it; had to make a couple other
helper routines automatic too, but it's all working the way I want now :-)


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Eric Brombaugh

On 11/08/2010 11:02 AM, DJ Delorie wrote:


$ iverilog -o sim -Wall -g1 tasks.v
tasks.v:10: syntax error
sh: line 1: 13425 Done/usr/lib/ivl/ivlpp -L -F"/tmp/ivrlg241f26ea8" 
-f"/tmp/ivrlg41f26ea8" -p"/tmp/ivrli41f26ea8"
  13426 Segmentation fault  (core dumped) | /usr/lib/ivl/ivl 
-C"/tmp/ivrlh41f26ea8" -C"/usr/lib/ivl/vvp.conf" -- -

`timescale 1ns / 1ps

module task_test ();





endmodule // task_test


Just for giggles I ran that through my copy of Modelsim.

http://imagebin.org/122374

Seems to work fine there.

Eric


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread DJ Delorie

> Actually, Icarus Verilog should support automatic tasks, even
> the 0.9 version that you say you're running. Maybe there is a
> bug that is tripped by a specific use?

$ iverilog -V
Icarus Verilog version 0.9.2  (v0_9_2)

Seems to be caused by --g1 :

$ iverilog -o sim -Wall -g1 tasks.v
tasks.v:10: syntax error
sh: line 1: 13425 Done/usr/lib/ivl/ivlpp -L 
-F"/tmp/ivrlg241f26ea8" -f"/tmp/ivrlg41f26ea8" -p"/tmp/ivrli41f26ea8"
 13426 Segmentation fault  (core dumped) | /usr/lib/ivl/ivl 
-C"/tmp/ivrlh41f26ea8" -C"/usr/lib/ivl/vvp.conf" -- -

`timescale 1ns / 1ps

module task_test ();

   reg [5:0] state;
   reg   cs_running;
   reg   wr_running;
   reg   wd_running;

   task automatic twait;
  input [7:0] count;
  begin
 while (count > 0)
   begin
  #10 count = count - 1;
   end
 
  end
   endtask // twait

   task mcu_cs;
  input [7:0] count;
  begin
 cs_running = 1;
 twait (count);
 cs_running = 0;
  end
   endtask // mcu_cs

   task mcu_wr;
  input [7:0] count;
  begin
 wr_running = 1;
 twait (count);
 wr_running = 0;
  end
   endtask // mcu_cs
   
   task mcu_wd;
  input [7:0] count;
  begin
 wd_running = 1;
 twait (count);
 wd_running = 0;
  end
   endtask // mcu_cs

   initial
 begin
state = 0;
cs_running = 0;
wr_running = 0;
wd_running = 0;
#10;
$dumpvars;
#10 state = 1;
#10;

fork
   mcu_cs (5);
   mcu_wr (7);
   mcu_wd (8);
join

#10 state = 3;
#10 state = 4;
#10 state = 5;
$finish;
#10;

 end

endmodule // task_test


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Stephen Williams
DJ Delorie wrote:
>> task automatic twait
> 
> Tried that first.  Icarus didn't support it.

Actually, Icarus Verilog should support automatic tasks, even
the 0.9 version that you say you're running. Maybe there is a
bug that is tripped by a specific use?

-- 
Steve Williams"The woods are lovely, dark and deep.
steve at icarus.com   But I have promises to keep,
http://www.icarus.com and lines to code before I sleep,
http://www.picturel.com   And lines to code before I sleep."


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread John Griessen

On 11/08/2010 10:34 AM, Jared Casper wrote:

So it seems to me the only way to do what you want to do is
figure out a way to partition things so each twait task is part of a
separate module instantiation.


Yes, that's how I was thinking. The instances would be connected with wires.

JG


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Jared Casper
On Sat, Nov 6, 2010 at 4:37 PM, DJ Delorie  wrote:
> Not to usefully, they all shared the one task (or variable), and thus
> all exited at exactly the same time.
>
> How do I do a local instantiation of a task?
>

>From IEEE 1364-2005:
All variables of a static task shall be static in that there shall be
a single variable corresponding to each declared local variable in a
module instance, regardless of the number of concurrent activations of
the task. However, static tasks in different instances of a module
shall have separate storage from each other.

If a task isn't automatic (which is what you really want), it is
static.  So it seems to me the only way to do what you want to do is
figure out a way to partition things so each twait task is part of a
separate module instantiation.  I haven't confirmed this with Icarus
though.

I've gotten around issues like this before with the ugly hack of just
copy/pasting the task for each caller... twait_cson, twait_wron,
twait_wdon, twait_cswwait, etc...

Jared


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: icarus, fork, and recursive tasks

2010-11-08 Thread Uwe Bonnes
> "DJ" == DJ Delorie  writes:

DJ> I'm trying to simulate an MCU's bus processor, which has a couple of
DJ> timing parameters all keyed off the start of a transaction.

DJ> Naively, I did this:

DJ>   fork mcu_cson (address); mcu_wron (address); mcu_wdon
DJ> (address); mcu_cswwait (address); join

DJ> Each mcu_* task would wait the right number of cycles, toggle its
DJ> line, and return.  However, they all called one task (twait()) that
DJ> did "wait the right number of cycles".

DJ> Not to usefully, they all shared the one task (or variable), and
DJ> thus all exited at exactly the same time.

Isn't this better asked on the icarus mailing list ?
-- 
Uwe Bonnesb...@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
- Tel. 06151 162516  Fax. 06151 164321 --


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Gsch2pcb configuration

2010-11-08 Thread Peter TB Brett
On Mon, 08 Nov 2010 11:52:17 +0100, Kai-Martin Knaak
 wrote:
> Wojciech Kazubski wrote:
> 
>> Is gsch2pcb reading gafrc or any other config file?
> 
> Since gsch2pcb is just a wrapper to gnetlist, the gafrc files should be
> read 
> from the usual places. I wouldn't know how to set the path to the m4 lib
in
> 
> a gafrc, though.
> 

You can't.  gsch2pcb doesn't read a global or user config file, so the only
way to specify pcb element libraries is via one of the following directives
in a project file (or the equivalent command-line options):

- elements-dir
- m4-pcbdir
- m4-file

Peter


-- 
Peter Brett 
Remote Sensing Research Group
Surrey Space Centre


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: build date logging/printing

2010-11-08 Thread Maciej Pijanka
On Mon, 08 Nov 2010, Kai-Martin Knaak wrote:

> Maciej Pijanka wrote:
> 
> > I have created small patch that causes gschem to print PACKAGE_BUILD_DATE
> > to log window, i found this usefull when i am not sure which one from
> > compiled i have running where all have same version but different local
> > modifications.
> 
> why not to stdout with option --version ? 

That would be helpfull only when i have binary not running app.

> How about the "about" dialog in the Help menu? 

menu dialog was a first place where i tried to add such info but
it seems to be predefined gtk dialog, with no place for custom info 
like git version plus build date, so went to add it to log window

> By the way, what is the intended use of the log window, anyway?
> Is it supposed to be 
> a) a way to alert the user about anything unusual.
> b) a diary where gschem should report on every major transaction?

No idea, for some moments log window has not enough usefull info, for another
i hide it completly, maybe some filtering based on message level would be 
usefull.

-- 
Maciej Pijanka
I don't fear computers, I fear lack of them -- Isaac Asimov


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Enhancements for gEDA/pcb G-code export

2010-11-08 Thread Alberto Maccioni
Hello,
here my comments about the patches:

1-HID-gcode: let the system library allocate the temporary file.
The intermediate png file is necessary to check if the enlarged tracks
touch and cannot be milled.

5-HID-gcode: add a flag wether to produce advanced G-code.
please enable advanced gcode by default

16-HID-gcode: sort drills not only by distance, but also by diameter.
  drill = sort_drill_distance (drill, n_drill);
  qsort (drill, n_drill, sizeof (struct drill_struct),
   compare_drill_diameter);
this breaks the path minimization algorithm: as already said
sort_drill_distance HAS to be the last sort otherwise it doesn't have any
effect; if you want to sort by diameter (which by the way is of no use without
a tool changer command or a pause between different diameters) create a list
for each diameter and use sort_drill_distance on all of them. Sort by diameter
should be enabled by a flag.
This is the third time I explain this so I'm starting have a doubt:
am I not explaining well enough or are you not reading my comments?

19-HID-gcode: fetch the board's extents from the outline layer, if possible.
what is this for? How is this better than the following?
PCB->MaxWidth / pcb_unit;
PCB->MaxHeight / pcb_unit;

21-HID-gcode: simplify and increase accuracy of a conversion a bit.
How is this working?
(pcb * gcode_dpi) / pcb_unit;
vs.
((double)(pcb * gcode_dpi) + 0.5) / pcb_unit;


2010/11/1 Markus Hitter :
>
> A new set of patches is out, addressing all the suggestions here:
>
> http://sourceforge.net/tracker/?func=detail&aid=3100354&group_id=73743&atid=538813
>
> New patches make G-code output respecting the outline layer if available.
>
> Enhancements planned include milling this outline with an end mill and using
> that end mill to drill bigger holes by milling a small circle.
>
> While I tried, I couldn't find any code in pcb's sources which would produce
> an offset of the path in the outline layer with proper relimiting of the
> lines in respect to each other. I'd be glad if somebody can point me to such
> a function without introducing new dependencies. Else I'd have to restrict
> this outline milling to rectangles, as the route used for isolation milling
> is difficult to handle either.
>
>
> Markus
>
> - - - - - - - - - - - - - - - - - - -
> Dipl. Ing. (FH) Markus Hitter
> http://www.jump-ing.de/
>
>
>
>
>
>
>
> ___
> geda-user mailing list
> geda-user@moria.seul.org
> http://www.seul.org/cgi-bin/mailman/listinfo/geda-user
>


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Gsch2pcb configuration

2010-11-08 Thread Kai-Martin Knaak
Wojciech Kazubski wrote:

> Is gsch2pcb reading gafrc or any other config file?

Since gsch2pcb is just a wrapper to gnetlist, the gafrc files should be read 
from the usual places. I wouldn't know how to set the path to the m4 lib in 
a gafrc, though.


> I am looking for easy way to configure another location of  m4 
> footprints. I know that gsch2pcb looks for several default locations
> and there is a commandline option to tell the alternate one, but it
> has to be typed in each time gsch2pcb is invoked.

Call gsch2pcb with a "project file" that contains all the necessary command 
line options. See the setup section of tutorial for a simple example:
 http://geda.seul.org/wiki/geda:gsch2pcb_tutorial#simple_example

---<)kaimartin(>---
-- 
Kai-Martin Knaak  tel: +49-511-762-2895
Universität Hannover, Inst. für Quantenoptik  fax: +49-511-762-2211 
Welfengarten 1, 30167 Hannover   http://www.iqo.uni-hannover.de
GPG key:http://pgp.mit.edu:11371/pks/lookup?search=Knaak+kmk&op=get



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: build date logging/printing

2010-11-08 Thread Peter TB Brett
On Mon, 08 Nov 2010 11:33:11 +0100, Kai-Martin Knaak
 wrote:

> By the way, what is the intended use of the log window, anyway?
> Is it supposed to be 
> a) a way to alert the user about anything unusual.
> b) a diary where gschem should report on every major transaction?

Good question.  I'm not sure.  These days, I mostly use it exclusively for
debugging Scheme stuff.

Peter

-- 
Peter Brett 
Remote Sensing Research Group
Surrey Space Centre


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: build date logging/printing

2010-11-08 Thread Kai-Martin Knaak
Maciej Pijanka wrote:

> I have created small patch that causes gschem to print PACKAGE_BUILD_DATE
> to log window, i found this usefull when i am not sure which one from
> compiled i have running where all have same version but different local
> modifications.

why not to stdout with option --version ? 
How about the "about" dialog in the Help menu? 

By the way, what is the intended use of the log window, anyway?
Is it supposed to be 
a) a way to alert the user about anything unusual.
b) a diary where gschem should report on every major transaction?

---<)kaimartin(>---
-- 
Kai-Martin Knaak  tel: +49-511-762-2895
Universität Hannover, Inst. für Quantenoptik  fax: +49-511-762-2211 
Welfengarten 1, 30167 Hannover   http://www.iqo.uni-hannover.de
GPG key:http://pgp.mit.edu:11371/pks/lookup?search=Knaak+kmk&op=get



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: build date logging/printing

2010-11-08 Thread Maciej Pijanka
Hello

I have created small patch that causes gschem to print PACKAGE_BUILD_DATE
to log window, i found this usefull when i am not sure which one from compiled
i have running where all have same version but different local modifications.

best regards
Maciej

-- 
Maciej Pijanka
I don't fear computers, I fear lack of them -- Isaac Asimov
diff --git a/Makefile.am b/Makefile.am
index c83837d..8826420 100644
--- a/Makefile.am
+++ b/Makefile.am
@@ -34,15 +34,17 @@ version.h: stamp-git $(top_builddir)/configure 
$(srcdir)/version.h.in
  $(MAKE) $(AM_MAKEFLAGS) stamp-git; \
else :; fi
 
-   @date_ver=$(DATE_VERSION); dotted_ver=$(DOTTED_VERSION); \
+   date_ver=$(DATE_VERSION); dotted_ver=$(DOTTED_VERSION); \
git_commit=`cd $(srcdir) && $(GIT) rev-parse HEAD`; \
git_ver=`cd $(srcdir) && $(GIT) describe --always HEAD`; \
+build_date=`LANG=C date -R`; \
sed -e"s:^.*\(PACKAGE_DATE_VERSION\).*$$:#define \1 \"$$date_ver\":" \
-e"s:^.*\(PACKAGE_DOTTED_VERSION\).*$$:#define \1 
\"$$dotted_ver\":" \
-e"s:^.*\(PACKAGE_GIT_VERSION\).*$$:#define \1 \"$$git_ver\":" \
-e"s:^.*\(PACKAGE_GIT_COMMIT\).*$$:#define \1 \"$$git_commit\":" \
+   -e"s;^.*\(PACKAGE_BUILD_DATE\).*$$;#define \1 \"$$build_date\";" \
  < $(srcdir)/version.h.in > version.h.new; \
-   if diff version.h version.h.new > /dev/null 2>&1; then \
+   if diff -I PACKAGE_BUILD_DATE version.h version.h.new > /dev/null 2>&1; 
then \
  echo "version.h is unchanged"; \
  rm version.h.new; \
else \
diff --git a/gschem/src/gschem.c b/gschem/src/gschem.c
index 4c33137..2974c9a 100644
--- a/gschem/src/gschem.c
+++ b/gschem/src/gschem.c
@@ -183,6 +183,7 @@ void main_prog(void *closure, int argc, char *argv[])
   s_log_message(
 _("gEDA/gschem version %s%s.%s\n"), PREPEND_VERSION_STRING,
 PACKAGE_DOTTED_VERSION, PACKAGE_DATE_VERSION);
+  s_log_message( "Build Date: %s\n", PACKAGE_BUILD_DATE );
   s_log_message(
 _("gEDA/gschem comes with ABSOLUTELY NO WARRANTY; see COPYING 
for more details.\n"));
   s_log_message(
diff --git a/version.h.in b/version.h.in
index fa1e599..582d44a 100644
--- a/version.h.in
+++ b/version.h.in
@@ -9,3 +9,6 @@
 
 /* Current 'git describe' version string. */
 /* #undef PACKAGE_GIT_VERSION */
+
+/* Package Build date */
+/* #undef PACKAGE_BUILD_DATE */


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user