Re: gEDA-user: the joy and sadness of new boards

2009-03-31 Thread Bdale Garbee
On Tue, 2009-03-31 at 23:43 -0400, DJ Delorie wrote:
> Hey, they have a 4.5" diamond blade that will fit in my table saw,
> too.  They don't say how wide it is, though.

I've had poor luck trying to saw boards apart with my Dremel.  Maybe my
hands just aren't steady enough?  Particularly trying to hold edge
location tolerances tightly enough to repeatably place solder past with
the simple frame fixture I made up.  A diamond wheel is way better than
a normal abrasive wheel, but still not easy for me to use successfully.

I'm happily using my little table-top 3-axis CNC mill to cut my
TeleMetrum panels apart with a very small diameter end mill and a
hold-down fixture I made up... basically a block of wood surfaced and
with holes drilled and tapped to allow me to screw the individual boards
in a panel down so that they stay in place as I'm cutting them apart.
Tedious, but I'm able to hold all the dimensions I care about to within
a mil.

If I had a suitable shear I'd use it...

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: pcb drc checks before releasing pcb || cost diff. from vendor to vendor

2009-03-16 Thread Bdale Garbee
On Mon, 2009-03-16 at 16:06 -0500, Mark Rages wrote:

> If you are really unsure about the design, check out Advanced
> Circuits' barebones special.

I really like Advanced Circuits.

You can use their FreeDFM.com service to review your design even if you
don't end up deciding to use them for your boards.  

I've used their 2-layer bare bones service fronted by barebonespcb.com a
couple of times with good success... if you can live without mask and
silk, it's a wonderfully cheap way to get some boards made.  For me,
it's a better deal than making boards at home since I can get a handful
of 2-layer plated through boards in a couple days for less than what I
think my time is worth.

I'm in the midst of turning on my first 4-layer boards that were bought
through their 66each.com front.  By submitting a panel of 10 of my
boards as one 'board' in their system I was able to get 50 raw boards
for $66 times 4 plus shipping, which far beat anyone else's pricing.
Used my table-top CNC milling machine to cut the panel apart.  So far,
everything I've tested on the board has worked perfectly... and this is
a fairly dense board at their minimum space and trace limits using a
QFN-36 RF system on chip and a mess of 0402 passives.  I'll definitely
use this service again.  They also have a 2-layer full-spec equivalent
at 33each.com, but I haven't tried it yet.

Have fun!

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gschem 1.4.3 and LINGUAS="de en"

2009-03-12 Thread Bdale Garbee
On Tue, 2009-03-10 at 21:32 +, Peter Clifton wrote:
> On Tue, 2009-03-10 at 22:09 +0100, Stefan Salewski wrote:

> > May it be reasonable to allow distributions to include 1.5.x into their
> > testing/unstable branch?
> 
> I (personally) think that depends on whether they automatically got
> filtered down into the distro's stable releases or not. Gentoo and
> Debian Experimental are about the only ones I can think of which this
> might hold true for.

Putting 1.5.n packages in Debian experimental is a completely reasonable
idea.  It'd save lots of build effort for people who want to use the
newer series, and definitely help ensure that packaging issues don't
creep in.

> if there is great demand for a new release, and we're
> not ready, we should perhaps do a GNOME.. bump some of our list of
> desired features to the next cycle, and get on with releasing what we
> have, rather than blocking (for a possibly unbounded length of time) on
> a list of bug-fixes / must-have features for a given release.

Yes.  The question I've often posed in Debian space is whether our user
community would be better served by releasing what we have or holding
off for another, hypothetically better, day.  And the kernel.org folk
realized a while back that going too far down a development branch that
isn't in routine use without making a release is a bad idea... which is
why a new approach was taken for kernels in the 2.6 series.  Definitely
worth thinking about.

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: PCB - How To Find A Component?

2009-02-12 Thread Bdale Garbee
On Thu, 2009-02-12 at 11:10 -0800, Ben Jackson wrote:

> What I really want to do is implement my "tetris" plugin idea which feeds
> you the elements in a "natural" order for you to place.

Oohhh   ;-)

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Guerilla marketing...

2009-01-29 Thread Bdale Garbee
On Tue, 2009-01-27 at 17:34 -0500, Stuart Brorson wrote:
> I suspect that interest in both zero-cost *and* true FOSS EDA
> stuff will increase as the world economy continues to tank

That would certainly be nice.

FWIW, I've seen folks use various licenses with different degrees of
success for open hardware projects.  I personally like the TAPR Open
Hardware License, http://tapr.org/ohl.  That plus GPL for firmware and
CC by-sa 3.0 for related documentation seems like a very workable mix.

See http://altusmetrum.org for what I'm currently hacking on with
friends using gEDA.

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Embedded Symbols

2009-01-29 Thread Bdale Garbee
On Thu, 2009-01-29 at 17:10 -0500, John Luciani wrote:
> Is there a command line switch or a script that will take an existing
> schematic and embed all of the symbols?

I don't know, but I was going to ask the same question sometime soon...

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: 8 pin SOIC footprint

2009-01-18 Thread Bdale Garbee
On Sun, 2009-01-18 at 16:38 -0500, Rob Butts wrote:
> I looked in PCB; is the 8 pin SOIC called SO8?

That's one of them.  Be careful, though, experience has shown that
there's more than one part width that silicon vendors call a SOIC.  I've
gotten to the point where I check every footprint's dimensions against
vendor part data, and also do things like printing out footprints to see
how real parts look sitting on them...

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: [RFC 6/6] Generation of log files

2009-01-16 Thread Bdale Garbee
On Fri, 2009-01-16 at 21:37 -0700, John Doty wrote:
> On Jan 16, 2009, at 9:09 PM, Steve Meier wrote:
> 
> > To me the idea of a app.log associated with a project is that if some
> > step in the project goes "GAK" I would like to know why.
> >
> 
> The basic problem is that the software's point of view is not the  
> user's. Software is even better than priests at "straining out gnats  
> and swallowing camels". syslog() is designed to archive messages for  
> a reasonable time, so the user can find the rare useful message if  
> necessary.

However, syslog() is usually defined as a user interface to the system
logger, which a mere user may or may not be able to configure or view
the contents of the log directory of.  So while I agree the concept of a
central logging facility used by gEDA apps could make sense, it's not
clear to me that syslog() is naturally that facility.

Frankly, I'm more than happy to remove local log files in the clean
targets of my per-project makefiles.  I assume those with gui fixations
could have cleanup behaviors coded in xgsch2pcb or whatever.  [shrug]

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: New user to PCB - what does this error mean?

2009-01-11 Thread Bdale Garbee
On Sun, 2009-01-11 at 11:11 +1100, Susan Mackay wrote:
> especially if other amateur radio people are  
> interested in what I've created and I can order multiple boards.

Ok, I'll bite... now you've got me curious about what you're working
on...  ;-)

73 - Bdale, KB0G



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Footprint with thermal paddle

2009-01-08 Thread Bdale Garbee
On Thu, 2009-01-08 at 19:18 -0500, DJ Delorie wrote:
> > I wonder if a couple of overlapping pads would work.
> 
> That's what I did.  One pad for the copper extent, and a set of
> smaller pads to define the paste and mask.  You just have to number
> them all the same.

I recently did a totally whacky one for the TI CCF32 RF system on a
chip, which is a QFN36 with mandatory connection to the ground paddle,
for the board I'm about to try loading.  If it works out ok, I'll post
it to gedasymbols.org.  All in all, the footprint has 78 pads and 9 pins
defined... 42 of those pads and all of the pins comprise the ground
paddle under the chip, to achieve the recommended paste and resist
geometries.

Makes for a pretty board and stencil, here's hoping it actually works!

Oh, heck, I'll append the Python script that generates the footprint.
Just be warned not to trust it until I've got a board loaded and turned
on that uses it! 

Bdale


#!/usr/bin/python
# Copyright 2008 by Bdale Garbee .  GPLv2
#
# Program to emit PCB footprint for QFN36 package used by TI CC
#

# dimensions in mm from the TI ccf32.pdf datasheet
PinWidth = 0.28 
PinResist = 0.381   # width of gap in solder resist over pad
PinHeight = 0.75
PinSpacing = 0.50
Overall = 6.30
GndSquare = 4.40
CoreSquare = 3.7592 # resist gaps and paste spots over ground tab
PinSquare = 4.80

import sys

# we're going to use the 1/100 of a mil fundamental unit form
def mm2mils100( mm ):
return int( mm / 25.4 * 1000.0 * 100.0 + 0.5 )

print 'Element[0x0 "QFN36" "" "" 0 0 0 0 0 100 0x0]'
print "("

# pad under the chip, must be grounded
print '   Pad[',\
mm2mils100(0), \
mm2mils100(0), \
mm2mils100(0), \
mm2mils100(0), \
mm2mils100(GndSquare), \
0, \
0, \
'"pin37" "37" "square,nopaste"]'

# vias in the ground pad under the chip
for viarow in range (-1,2):
  for viacol in range (-1,2):
print '   Pin[',\
mm2mils100(2 * viacol * CoreSquare / 5), \
mm2mils100(2 * viarow * CoreSquare / 5), \
2700, \
1000, \
0, \
1500, \
'"pin37" "37" 0x0002]'

# break pad under chip into a grid to control the resist and paste masks
for viarow in range (-2, 3):
  for viacol in range (-2, 3):
if (viarow in (-2, 0, 2)) and (viacol in (-2, 0, 2)):
  # copper sub-square with resist over vias
  print '   Pad[',\
mm2mils100(viacol * CoreSquare / 5), \
mm2mils100(viarow * CoreSquare / 5), \
mm2mils100(viacol * CoreSquare / 5), \
mm2mils100(viarow * CoreSquare / 5), \
mm2mils100((CoreSquare)/5), \
0, \
0, \
'"pin37" "37" "square,nopaste"]'
else:
  # copper sub-square without resist
  print '   Pad[',\
mm2mils100(viacol * CoreSquare / 5), \
mm2mils100(viarow * CoreSquare / 5), \
mm2mils100(viacol * CoreSquare / 5), \
mm2mils100(viarow * CoreSquare / 5), \
mm2mils100((CoreSquare)/5), \
0, \
mm2mils100((CoreSquare)/5), \
'"pin37" "37" "square,nopaste"]'
  # copper spot to control paste mask generation
  print '   Pad[',\
mm2mils100(viacol * CoreSquare / 5), \
mm2mils100(viarow * CoreSquare / 5), \
mm2mils100(viacol * CoreSquare / 5), \
mm2mils100(viarow * CoreSquare / 5), \
1500, \
0, \
mm2mils100((CoreSquare)/5), \
'"pin37" "37" "square"]'

# pins
for pin in range (1,10):
print '   Pad[',\
mm2mils100(-2.5 + pin * PinSpacing), \
mm2mils100(-Overall/2 + PinWidth/2), \
mm2mils100(-2.5 + pin * PinSpacing), \
mm2mils100(-Overall/2 + PinHeight - PinWidth/2), \
mm2mils100(PinWidth), \
mm2mils100(PinSpacing - PinWidth), \
mm2mils100(PinResist), \
'"pin%i"' % (28-pin), '"%i"' % (28-pin), '0x]'

print '   Pad[',\
mm2mils100(-2.5 + pin * PinSpacing), \
mm2mils100(+Overall/2 - PinHeight + PinWidth/2), \
mm2mils100(-2.5 + pin * PinSpacing), \
mm2mils100(+Overall/2 - PinWidth/2), \
mm2mils100(PinWidth), \
mm2mils100(PinSpacing - PinWidth), \
mm2mils100(PinResist), \
'"pin%i"' % pin, '"%i"' % pin, '0x]'

print '   Pad[',\
mm2mils100(Overall/2 - PinHeight + PinWidth/2), \
mm2mils100(-2.5 + pin * PinSpacing), \
mm2mils100(Overall/2 - PinWidth/2), \
mm2mils100(-2.5 + pin * PinSpa

Re: gEDA-user: symbol databases, was Re: Wish list, sort of

2009-01-06 Thread Bdale Garbee
On Tue, 2009-01-06 at 07:26 -0500, Dave McGuire wrote:
> On Jan 5, 2009, at 5:32 PM, Mike Crowe wrote:
> > I don't know if putting gschem netlist data or the graphics data  
> > into a
> > database helps with much, as relationalism there doesn't seem to be of
> > much benefit.
> 
>Relationalism may not be of much benefit, but easy, centralized,  
> network-based management of that data certainly is.  I regularly edit  
> schematics from 3-4 different computers, and I currently use rsync to  
> keep my symbols up-to-date across them.  I'd much rather use a  
> central network-based repository. 

I've thought about this, too, and my current solution which I'm quite
happy with is to use the 'git' distributed revision control system.

My tree of library parts is one repo, each design I work on is a repo,
and I have a central server I 'git push' updates to that as a result
could be thought of as my master copy.  Tagging the parts database at
the same time I tag a design database to indicate a particular release /
version is handy, too, because it simplifies the process of getting back
to a known state if I ever need to later.  I can even envision potential
use of branching to try out part tweaks before making a long term
commitment to the change, but I haven't actually needed that yet.

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: one fix for building under Solaris

2009-01-05 Thread Bdale Garbee
On Mon, 2009-01-05 at 13:31 -0500, DJ Delorie wrote:
> It's a useful tautology if it helps us remain portable, or at least
> understand our porting issues.
> 
> Me, I'd go with whatever shell Posix specifies, and leave it up to the
> OS to provide something that conforms.

Yes, that's clearly the best choice.

An interesting example is dash, which you can learn more about here if
you wish to:

http://en.wikipedia.org/wiki/Debian_Almquist_shell

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: OT: Recommendations for laptop?

2009-01-03 Thread Bdale Garbee
On Sat, 2009-01-03 at 02:48 -0500, der Mouse wrote:
> >   - Closed source OS rubs some folks the wrong way - even when they
> > provide free development tools & documentation for coding on top of
> > the OS, not having access to the guts is annoying.
> 
> It actually can be anywhere from irrelevant to intolerable, depending
> on what you're trying to do.  For me, "crippling" is usually about the
> right level.

Seen in other people's quote files, to my immense pleasure and
amusement:

Life is too short to run proprietary software. -- Bdale Garbee

I've taken to calling it 'secret source software' in recent years.

To help keep this on topic for the list, details of my current gEDA
project are at altusmetrum.org

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: OT: Recommendations for laptop?

2008-12-26 Thread Bdale Garbee
On Fri, 2008-12-26 at 07:33 -0500, Stuart Brorson wrote:

> So, does anybody in here have recommendations for a good laptop?  Or
> do you have any laptops to avoid?

I'm a gEDA user who happens to work for HP in a relevant role.  See
www.gag.com/~bdale for details if you wish.

All of the notebook computers in the business series from HP are tested
for use with a couple commercial Linux distributions.  If you pick one
of the units with Intel graphics and wireless, which means you'll also
be getting an Intel CPU, then you're likely to be very happy with the
resulting experience using Linux.  

For example, I'm typing this in Debian on a 2510p.

It's possible to have a good experience with many of the consumer HP
notebook models too, but it's much harder for me to provide simple
guidelines like the above since there's so much hardware variation in
the consumer series.  

My best advice, regardless of which vendor you choose, is to troll
interesting looking models, then do some web searching to see if people
have reported good or bad experiences loading and using Linux on that
model.  And if you end up buying something retail, take a live-boot CD
along and see if the sales people will let you try it on a demo unit
first.

Bdale



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user