Re: gEDA-user: [icarus] task automatic causes assertion

2008-08-16 Thread Günter Dannoritzer
Stephen Williams wrote: > I think there is a bug report related to this in the icarus verilog > bugs tracker already. "automatic" tasks are not supported yes, and > there is a patch that I recently applied that reports this as a > proper error. Are there any plans to add automatic tasks or is that

Re: gEDA-user: [icarus] task automatic causes assertion

2008-08-16 Thread Stephen Williams
I think there is a bug report related to this in the icarus verilog bugs tracker already. "automatic" tasks are not supported yes, and there is a patch that I recently applied that reports this as a proper error. Günter Dannoritzer wrote: > Hi, > > I tried compiling some Verilog code with a 'tas

gEDA-user: [icarus] task automatic causes assertion

2008-08-12 Thread Günter Dannoritzer
Hi, I tried compiling some Verilog code with a 'task automatic' statement using Icarus Verilog 0.9.devel s20080429 and got the following assertion: >iverilog -o auto2.vvp auto.v auto.v:16: syntax error auto.v:3: assert: pform.cc:359: failed assertion lexical_scope == pform_cur_module sh: line