Re: gEDA-user: Icarus Verilog 0.9.3 is Available

2010-10-01 Thread Stephen Williams
Hirokatsu SUNAKAWA wrote: > On Mon, 27 Sep 2010 17:05:06 -0700 > Stephen Williams wrote: > >> More details, including known limitations, deviations from IEEE Std >> 1364-2005, where to obtain the source code, and links to some of the >> precompiled packages can be found in the Release Notes locat

Re: gEDA-user: Icarus Verilog 0.9.3 is Available

2010-10-01 Thread Hirokatsu SUNAKAWA
On Mon, 27 Sep 2010 17:05:06 -0700 Stephen Williams wrote: > > More details, including known limitations, deviations from IEEE Std > 1364-2005, where to obtain the source code, and links to some of the > precompiled packages can be found in the Release Notes located here: > >

gEDA-user: Icarus Verilog 0.9.3 is Available

2010-09-27 Thread Stephen Williams
The developers are pleased to announce the next stable release in the 0.9 series, version 0.9.3. Icarus Verilog is a mostly complete implementation of the hardware description language Verilog, as described in IEEE Std 1364-2005. It also includes a number of user requested extensions. It is freely