Re: [perl #118965] AutoReply: [BUG] Import of overlapping export groups

2013-07-24 Thread David Warring
Another failing example: use v6; class Test { sub foo is export(:foo) {42}; sub bar is export(:bar) {0x42}; sub baz is export(:foo, :bar) {0o42}; } % perl6 -e 'use Test :foo, :bar' ===SORRY!=== Cannot import symbol &baz from Test, because it already e

Re: [perl #118965] AutoReply: [BUG] Import of overlapping export groups

2013-07-22 Thread David Warring
Same without tabs, sorry: use v6; class Alignment { constant left is export(:horizontal) = 0.0; constant right is export(:horizontal) = 1.0; constant bottom is export(:vertical) = 0.0; constant topis export(:vertical) = 1.0; constant cente