Date: Monday, October 14, 2019 @ 17:06:24
  Author: felixonmars
Revision: 515768

archrelease: copy trunk to community-x86_64

Added:
  verilator/repos/community-x86_64/PKGBUILD
    (from rev 515767, verilator/trunk/PKGBUILD)
Deleted:
  verilator/repos/community-x86_64/PKGBUILD

----------+
 PKGBUILD |   56 ++++++++++++++++++++++++++++----------------------------
 1 file changed, 28 insertions(+), 28 deletions(-)

Deleted: PKGBUILD
===================================================================
--- PKGBUILD    2019-10-14 17:06:14 UTC (rev 515767)
+++ PKGBUILD    2019-10-14 17:06:24 UTC (rev 515768)
@@ -1,28 +0,0 @@
-# Maintainer: Felix Yan <felixonm...@archlinux.org>
-# Contributor: Marcin (CTRL) Wieczorek <mar...@marcin.co>
-# Contributor: Jeffrey Tolar <tolar.jeffrey at gmail dot com>
-
-pkgname=verilator
-pkgver=4.018
-pkgrel=1
-pkgdesc='The fastest free Verilog HDL simulator'
-url='https://www.veripool.org/projects/verilator/wiki/Intro'
-arch=('x86_64')
-license=('LGPL')
-depends=('gcc-libs')
-makedepends=('gcc')
-source=("http://www.veripool.org/ftp/verilator-$pkgver.tgz";)
-sha512sums=('62b74659dc3dc1c7eb0cca08cf6a514d4416ca24cff15f8ca315d5d106c2a978c077050602525cb17bb6d522e1f84b2711cd67d11d282dc29f65cb416ae98e46')
-
-build() {
-  cd verilator-$pkgver
-
-  ./configure --prefix=/usr
-  make
-}
-
-package() {
-  cd verilator-$pkgver
-
-  make install DESTDIR="$pkgdir"
-}

Copied: verilator/repos/community-x86_64/PKGBUILD (from rev 515767, 
verilator/trunk/PKGBUILD)
===================================================================
--- PKGBUILD                            (rev 0)
+++ PKGBUILD    2019-10-14 17:06:24 UTC (rev 515768)
@@ -0,0 +1,28 @@
+# Maintainer: Felix Yan <felixonm...@archlinux.org>
+# Contributor: Marcin (CTRL) Wieczorek <mar...@marcin.co>
+# Contributor: Jeffrey Tolar <tolar.jeffrey at gmail dot com>
+
+pkgname=verilator
+pkgver=4.020
+pkgrel=1
+pkgdesc='The fastest free Verilog HDL simulator'
+url='https://www.veripool.org/projects/verilator/wiki/Intro'
+arch=('x86_64')
+license=('LGPL')
+depends=('gcc-libs')
+makedepends=('gcc')
+source=("https://www.veripool.org/ftp/verilator-$pkgver.tgz";)
+sha512sums=('d80200f38dbda761a2d76fa917fbddbb2c7f4d2b7795377ab8ca2f71c428f9c866ac0c157dbaca0d89b7664d7ad7fd6b4b96ba84583958eedfecabcac020cdd6')
+
+build() {
+  cd verilator-$pkgver
+
+  ./configure --prefix=/usr
+  make
+}
+
+package() {
+  cd verilator-$pkgver
+
+  make install DESTDIR="$pkgdir"
+}

Reply via email to