Package: openocd
Version: 0.0+r655-1
Severity: wishlist
Tags: patch

See sample config in attachement. Tested on a LM3S8962 development board.

Thanks.

-- System Information:
Debian Release: lenny/sid
  APT prefers unstable
  APT policy: (500, 'unstable')
Architecture: amd64 (x86_64)

Kernel: Linux 2.6.24.2 (SMP w/4 CPU cores)
Locale: LANG=en_US.UTF-8, LC_CTYPE=en_US.UTF-8 (charmap=UTF-8)
Shell: /bin/sh linked to /bin/bash

Versions of packages openocd depends on:
ii  libc6                        2.7-6       GNU C Library: Shared libraries
ii  libftdi1                     0.12-1      Library to control and program the
ii  libusb-0.1-4                 2:0.1.12-11 userspace USB programming library

openocd recommends no packages.

-- no debconf information
#daemon configuration
telnet_port 4444
gdb_port 3333

gdb_detach reset
gdb_memory_map enable
gdb_flash_program enable

#interface
interface ft2232
ft2232_device_desc "Stellaris Evaluation Board"
ft2232_layout evb_lm3s811
ft2232_vid_pid 0x0403 0xbcd9
jtag_speed 6
#LM3S811 Evaluation Board has only srst
reset_config srst_only separate

#jtag scan chain
#format L IRC IRCM IDCODE (Length, IR Capture, IR Capture Mask, IDCODE)
jtag_device 4 0x1 0xf 0xe

#target configuration
daemon_startup reset
#target <type> <startup mode>
#target arm7tdmi <reset mode> <chainpos> <endianness> <variant>
target cortex_m3 little run_and_halt 0
# 4k working area at base of ram
working_area 0 0x20000000 0x1000 nobackup
#target_script 0 reset ../doc/scripts/evb_lm3s811_test.script 

#flash configuration
flash bank stellaris 0 262144  0 0 0

Reply via email to