src/evdev.c |    2 +-
 1 file changed, 1 insertion(+), 1 deletion(-)

New commits:
commit 4d26acc4f2d55d7e8fbb68d633b392326156c1e6
Author: Robert Hooker <sarv...@ubuntu.com>
Date:   Mon Oct 18 15:06:42 2010 -0400

    Fix up a merge conflict.
    
    This change was lost because of a bad git workflow being used on my part.

diff --git a/src/evdev.c b/src/evdev.c
index 049a688..512e957 100644
--- a/src/evdev.c
+++ b/src/evdev.c
@@ -386,7 +386,7 @@ EvdevProcessValuators(InputInfoPtr pInfo, int 
v[MAX_VALUATORS], int *num_v,
         for (i = 0; i < REL_CNT; i++)
         {
             int map = pEvdev->axis_map[i];
-            if (map != -1)
+            if (pEvdev->delta[i] && map != -1)
             {
                 v[map] = pEvdev->delta[i];
                 if (map < first)


-- 
To UNSUBSCRIBE, email to debian-x-requ...@lists.debian.org
with a subject of "unsubscribe". Trouble? Contact listmas...@lists.debian.org
Archive: http://lists.debian.org/e1p7v7z-0004lj...@alioth.debian.org

Reply via email to