I get this with -wi:
bug.d(5): Warning: explicit element-wise assignment (test[0u])[] = false is bett
er than test[0u] = false

That helps a bit. But I thought that D dereferences automatically? ;)

Reply via email to