Hi, all, 

I am not sure if the timing example in 

gr-digital/examples/example_timing.py

has some issues when using M&M timing recovery. In this example, if setting 
--mode=1
we will run into 

        self.connect(self.src, self.rrc, self.chn, self.off, self.clk, 
self.vsnk_clk)

where the channel output directly going into the M&M timing block, but I 
believe there must another 'Root Raised Cosin' filter between them to remove 
ISI? 

Regards

Wang Ning


_______________________________________________
Discuss-gnuradio mailing list
Discuss-gnuradio@gnu.org
https://lists.gnu.org/mailman/listinfo/discuss-gnuradio

Reply via email to