Le   jeu.   09   janv.   2014    à   01:22:31   ,   "Sebastien   Vauban"
<sva-n...@mygooglest.com> a envoyé ce message:
> Hello,
>
> Currently, to get "code highlighting" (which is contextual to the
> language of the code block) enabled within your Org buffers, you need to
> add the following line to your Emacs config file:
>
>   (setq org-src-fontify-natively t)
>
> as the default value of `org-src-fontify-natively' is `nil'.
>
> WDYT if we turn it on by default?
>
> Are there users explicitly wanting to keep that variable turned off. If
> yes, can you explain why: performance reasons, others?
>
> Best regards,
>   Seb

Hello,

I must  add that  the highlighting  works only  in code  with begin_src-
... end_src à la org. But then,  at least in my configuration, it blocks
the export in LaTeX or in HTML. 

I have not succeeded to get the same highlighting of the latex syntax at
the moment in an org file with all the correct export functions. 

But in comparison of the advantages of org-mode, it is a very small problem. 

Best wishes,

Jo. 

Reply via email to