Klemen Dovrtel wrote:
> Hello everybody,
>
> I am using fpga to monitor the incremental encoder and transfer the axis 
> absolute position to pc using parallel port and epp communication. When I run 
> the Axis GUI I am getting some strange following errors. I found out that 
> occasionally i get some bad axis position data transfer from the fpga. But 
> what is very strange that this happens only when the axis moves in negative 
> direction and never when it moves positive direction or stays still (please 
> see the image attached)). So i thought there must be some bug in pfga 
> encoder, not in epp communication, but i have no idea what could possibly be 
> wrong - the code is very simple (i pasted it below).
>
>   
Maybe you should read out the individual bytes of the position report 
and put them in a
file.  One possibility is that you are not "freezing" a valid n-byte 
count before reading
out the individual bytes of the count over the EPP port.  The Pico 
Systems boards,
and I'll bet the Mesa boards, too, have a holding register that freezes 
all 24-bit
position counts for all axes before reading them a byte at a time.  I don't
see such a holding register in your VHDL.

That's just one guess as to the cause.

Jon

------------------------------------------------------------------------------
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
_______________________________________________
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users

Reply via email to