On 16/05/13 17:06, Tom Hacohen wrote:
> Yo,
>
> valgrind elementary_test List
> When starting:
> ==20532== Conditional jump or move depends on uninitialised value(s)
> ==20532==    at 0x58776FA: _evas_image_file_header (evas_image_load.c:191)
> ==20532==    by 0x5877BCF: evas_common_load_rgba_image_module_from_file
> (evas_image_load.c:292)
> ==20532==    by 0x583D9ED: _evas_cache_image_entry_new
> (evas_cache_image.c:279)
> ==20532==    by 0x583F091: evas_cache_image_request (evas_cache_image.c:832)
> ==20532==    by 0x587956B: evas_common_load_image_from_file
> (evas_image_main.c:766)
> ==20532==    by 0x58A2FA3: eng_image_load (evas_engine.c:957)
> ==20532==    by 0x57B9FA7: _image_file_set (evas_object_image.c:662)
> ==20532==    by 0x648FF6C: _eo_op_internal (eo.c:365)
> ==20532==    by 0x64900D1: _eo_dov_internal (eo.c:400)
> ==20532==    by 0x649030D: eo_do_internal (eo.c:429)
> ==20532==    by 0x57B9D62: evas_object_image_file_set
> (evas_object_image.c:633)
> ==20532==    by 0x4F54D1B: _elm_image_smart_file_set (elm_image.c:923)

First one is actually super consistent and mega-bad opening a ticket 
regarding this one.

--
Tom.


------------------------------------------------------------------------------
AlienVault Unified Security Management (USM) platform delivers complete
security visibility with the essential security capabilities. Easily and
efficiently configure, manage, and operate all of your security controls
from a single console and one unified framework. Download a free trial.
http://p.sf.net/sfu/alienvault_d2d
_______________________________________________
enlightenment-devel mailing list
enlightenment-devel@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/enlightenment-devel

Reply via email to