Hello,

the readme on Github contains the info, that GHDL should support cocotb. So I 
tried that.
I pulled the latest code from Github, installed LLVM 3.5 und built GHDL with 
LLVM backend.
That runned very smoothly, a lot smoother than building with GCC backend. Good 
work Tristan :)

Then I tried the ‚endian_swapper‘ example of cocotb, it compiles with no seen 
errors. But when trying
to run the simulation, it is stucked very early at the VPI stuff, I assume:


$ ./run.sh
loading VPI module 
'/Users/torsten/Projects/Git/cocotb/build/libs/x86_64/libvpi.so'
     -.--ns INFO     cocotb.gpi                                GpiCommon.cpp:91 
  in gpi_print_registered_impl       VPI registered
VPI module loaded!
     0.00ns INFO     cocotb.gpi                                  
gpi_embed.c:248  in embed_sim_init                  Running on GHDL version 0.1
     0.00ns INFO     cocotb.gpi                                  
gpi_embed.c:249  in embed_sim_init                  Python interpreter 
initialised and cocotb loaded!
     0.00ns INFO     cocotb                                      
__init__.py:112  in _initialise_testbench           Unable to determine Cocotb 
version from Unknown
     0.00ns INFO     cocotb                                      
__init__.py:131  in _initialise_testbench           Seeding Python random 
module with 1455389244
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_001
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_002
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_003
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_004
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_005
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_006
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_007
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_008
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_009
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_010
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_011
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_012
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_013
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_014
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_015
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_016
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_017
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_018
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_019
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_020
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_021
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_022
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_023
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_024
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_025
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_026
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_027
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_028
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_029
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_030
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_031
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.run_test_032
     0.00ns INFO     cocotb.regression                         
regression.py:161  in initialise                      Found test 
test_endian_swapper.wavedrom_test
     0.00ns INFO     cocotb.regression                         
regression.py:262  in execute                         Running test 1/33: 
run_test_001
     0.00ns INFO     ..tb.coroutine._my_test.0x1054a4ed0       
decorators.py:189  in send                            Starting test: 
"run_test_001"
                                                                                
                                               Description: Automatically 
generated test

                                                                                
                                                backpressure_inserter: None
                                                                                
                                                config_coroutine: None
                                                                                
                                                data_in: random_packet_sizes 
(random string data of a random length)
                                                                                
                                                idle_inserter: None

vpi_get: unknown property
vpi_get_str: undefined property
/Users/torsten/Projects/Git/cocotb/cocotb/handle.py:165: UserWarning: Use of 
name attribute is deprecated
  warnings.warn("Use of %s attribute is deprecated" % name)
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
/Users/torsten/Projects/Git/cocotb/cocotb/handle.py:165: UserWarning: Use of 
log attribute is deprecated
  warnings.warn("Use of %s attribute is deprecated" % name)
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property
     5.00ns INFO     ..tb.scoreboard.endian_swapper_vhdl       
scoreboard.py:171  in add_interface                   Created with 
reorder_depth 0
vpi_get: unknown property
vpi_get_str: undefined property
vpi_get: unknown property
vpi_get_str: undefined property


Are that problems with the VPI interface? And second question: is there anyone 
on this list
which have succesfully used cocotb with GHDL?


Regards,
Torsten

--

web: http://blog.goodcleanfun.de
jabber: xg...@jabber.goodcleanfun.de

New PGP key since 2015-04-13!

PGP Fingerprint: 640C 84F3 A6C1 96C4 3593  777D B200 E1D8 67C4 C79B

Attachment: signature.asc
Description: Message signed with OpenPGP using GPGMail

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to