Hello Antonio,

As far as I know, GHDL has no build-in code coverage feature,
or have I missed something in the past years?

The GHDL documentation has only one hit on overage:
http://ghdl.readthedocs.io/en/latest/search.html?q=coverage&check_keywords=yes&area=default#
regarding PSL.

But, I found this presentation from FOSDEM'16: "GHDL, What's new?"
https://fosdem.org/2016/schedule/event/ghdl/attachments/slides/940/export/events/attachments/ghdl/slides/940/FOSDEM16_ghdl.pdf
It refers to gcov - an external tool for the GCC.

A code coverage article was written by Arnim Läuger in 2005. A copy can be 
found here:
http://home.mnet-online.de/al/ghdl_gcov/ghdl_gcov.html

Are you referring to this article, by writing "in the past"?

Regards
    Patrick

-----------------------------------
Wissenschaftliche Hilfskraft

Technische Universität Dresden
Fakultät Informatik
Institut für Technische Informatik
Lehrstuhl VLSI-Entwurfssysteme, Diagnostik und Architektur
01062 Dresden
Tel.:   +49 351 463-38451
Fax:    +49 351 463-38324
Raum:   APB-1020
E-Mail: patrick.lehm...@tu-dresden.de
WWW:    http://vlsi-eda.inf.tu-dresden.de


-----Original Message-----
From: Ghdl-discuss [mailto:ghdl-discuss-boun...@gna.org] On Behalf Of Antonio 
Bergnoli
Sent: Tuesday, May 03, 2016 8:36 AM
To: GHDL discuss list <ghdl-discuss@gna.org>
Subject: [Ghdl-discuss] code coverage with ghdl 0.33

Hi,
 i had no success with code coverage using ghdl 0.33 (llvm and gcc backend) . 
In the past I used often this feature . Does anybody have some positive results?
Antonio
_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Attachment: smime.p7s
Description: S/MIME cryptographic signature

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to