Hi

 

GHDL 0.32rc1 compiled with gcc+gnat 4.9.2

 

I am going to write a "light" code soon

 

Regards

 

From: Ghdl-discuss [mailto:ghdl-discuss-boun...@gna.org] On Behalf Of Lehmann, 
Patrick
Sent: mardi 14 juin 2016 00:56
To: GHDL discuss list
Subject: Re: [Ghdl-discuss] Problem with the generics

 

Hello Patrick,

 

can you please assemble your VHDL example lines as a small reproducer

and post this as an issue at GitHub.

 

What’s your GHDL version and back end?

 

Regards

    Patrick

 

-----------------------------------

Wissenschaftliche Hilfskraft

 

Technische Universität Dresden

Fakultät Informatik

Institut für Technische Informatik

Lehrstuhl VLSI-Entwurfssysteme, Diagnostik und Architektur

01062 Dresden

Tel.:   +49 351 463-38451

Fax:    +49 351 463-38324

Raum:   APB-1020

E-Mail:  <mailto:patrick.lehm...@tu-dresden.de> patrick.lehm...@tu-dresden.de

WWW:     <http://vlsi-eda.inf.tu-dresden.de/> http://vlsi-eda.inf.tu-dresden.de

 

From: Ghdl-discuss [mailto:ghdl-discuss-boun...@gna.org] On Behalf Of Patrick 
Pouget
Sent: Tuesday, June 14, 2016 12:10 AM
To: 'GHDL discuss list' <ghdl-discuss@gna.org>
Subject: [Ghdl-discuss] Problem with the generics

 

Hi

 

I am trying to run a vhdl code that uses generics to set the length of 
std_logic vectors.

 

it contains line like:

generic ( xyz : positive := 3; abc : positive := 2 );

 

In the signals declaration part of the architecture I wrote a line like:

signal myvector : std_logic_vector( xyz + abc downto 1);

 

Up to now it works ( or may work )

 

If I try to use a second time the generics writing:

signal myvector_next : std_logic_vector( xyz + abc downto 1);

or even

signal myvector_next : std_logic_vector( myvector'range);

 

after running ghdl with -a, -e and the runtime, I get a "error: NULL access 
dereferenced"

 

Any ideas?

 

Thanks

 

Patrick

 

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to