Hallo Tristan,

I have also a large open design. A MIPS CPU.

http://www.dossmatik.de/mais-cpu.html

code
http://www.dossmatik.de/mais/MAIS_CPU_V1.zip


It has a makefile in the subfolder sim

make  
make view


Rene



Am 22.07.2016 um 18:48 schrieb Tristan Gingold:
> On 21/07/16 22:27, Andre wrote:
>> Hi All,
>>
>> I have an extremely large design which has 64 Pipelined Processors that
>> are described in a three dimensial array with a transputer like comms
>> behind each one.
>>
>> At present I'm getting approx 1us per minute for the simulation.
>
> Is it an open-source design ?  I'd like big design as I sometimes use
> them to do profiling.
>
> Tristan.
>
>>
>> If you would like a very large design to test out the waves option then
>> I may be able to help, specifically having have a 3d generate loop.
>>
>>
>> Whatever happens this is a brilliant tool and it's good to get away from
>> the free but crippled version of Modelsim I'm using until recently.
>>
>>
>> Best Regards
>>
>>
>> Andre'
>>
>>
>> ------------------------------------------------------------------------
>> *From:* Ghdl-discuss <ghdl-discuss-boun...@gna.org> on behalf of
>> ghdl-discuss-requ...@gna.org <ghdl-discuss-requ...@gna.org>
>> *Sent:* 20 July 2016 10:00
>> *To:* ghdl-discuss@gna.org
>> *Subject:* Ghdl-discuss Digest, Vol 128, Issue 15
>>
>> Send Ghdl-discuss mailing list submissions to
>>         ghdl-discuss@gna.org
>>
>> To subscribe or unsubscribe via the World Wide Web, visit
>>         https://mail.gna.org/listinfo/ghdl-discuss
>> Ghdl-discuss -- GHDL discuss list - News Info Page
>> <https://mail.gna.org/listinfo/ghdl-discuss>
>> mail.gna.org
>> To see the collection of prior postings to the list, visit the
>> Ghdl-discuss Archives. Using Ghdl-discuss: To post a message to all the
>> list members ...
>>
>>
>> or, via email, send a message with subject or body 'help' to
>>         ghdl-discuss-requ...@gna.org
>>
>> You can reach the person managing the list at
>>         ghdl-discuss-ow...@gna.org
>>
>> When replying, please edit your Subject line so it is more specific
>> than "Re: Contents of Ghdl-discuss digest..."
>>
>>
>> Today's Topics:
>>
>>    1. Re: Collaboration in the GHDL project (Jonas Baggett)
>>    2. Re: Collaboration in the GHDL project (Tristan Gingold)
>>    3. Enabling Waveform Capture after some time (Thomas Dejanovic)
>>    4. Re: Enabling Waveform Capture after some time (Tristan Gingold)
>>
>>
>> ----------------------------------------------------------------------
>>
>> Message: 1
>> Date: Tue, 19 Jul 2016 12:10:18 +0200
>> From: Jonas Baggett <jon...@tranquille.ch>
>> To: ghdl-discuss@gna.org
>> Subject: Re: [Ghdl-discuss] Collaboration in the GHDL project
>> Message-ID: <21c0eee6-c99f-fe9c-15ad-87b775340...@tranquille.ch>
>> Content-Type: text/plain; charset="utf-8"; Format="flowed"
>>
>> Hello Tristan,
>>
>> Now I have a first working version of signal selection. Currently it
>> supports only full name signals, meaning that wildcards aren't supported
>> yet (that's number 3 in your list of important features). And currently
>> it works only for the ghw wave format, but before I extend it to all
>> formats, do you prefer that I make a pull request now to let you review
>> my code or should I first add the support for all formats ? And I still
>> need to add a help description and the new option I made
>> (--wave-option-file).
>>
>> Some open questions :
>>
>> - Currently when the same signal is written several times in the wave
>> option file, this is silently ignored. Does it worth it to add a tag to
>> my tree in order to be able to catch that and make it an error/warning ?
>>
>> - When the wave option file is void of any signal, then all the signals
>> will be displayed just like if no wave option file was provided. Should
>> it instead display no signals at all ?
>>
>> Regards,
>> Jonas
>>
>>
>> Le 09.07.16 à 07:20, Tristan Gingold a écrit :
>>> On 09/07/16 06:59, Jonas Baggett wrote:
>>>> Hello Tristan,
>>>>
>>>>> On 07/07/16 18:50, Jonas Baggett wrote:
>>>>>> Hello Tristan,
>>>>>>
>>>>>> There is also the case of signals in a package : I would suggest the
>>>>>> following syntax : pkg.the_signal. It seems better to me than
>>>>>> /pkg/the_signal.
>>>>>
>>>>> Why ?  /pkg/the_signal is more regular.
>>>>> Note you can have two packages with the same name in two different
>>>>> libraries, so maybe it should be /lib/pkg/the_signal.  It is also
>>>>> possible for an entity to have the name of a library, so maybe it
>>>>> should be /@lib/pkg/the_signal.  At this point we almost follow
>>>>> the syntax of external path names except '/' is used instead of '.'.
>>>> Because it's with this synthax that we access the signal in VHDL. So I
>>>> thought that when someone reads lib.pkg.the_signal, it will be
>>>> clear to
>>>> him at first glance that we are accessing a signal inside a package.
>>>> Nevertheless, with the /@lib/pkg/the_signal synthax, it's also clear.
>>>> Then if you prefer this synthax, it's fine to me too.
>>>
>>> / or . is ok with me.  I just didn't understand why package signal
>>> names were different.
>>>
>>>> Now I have finished to do the file parser and the tree builder. I have
>>>> one question : I tried to use the Types package located in
>>>> src/types.ads
>>>> from my package located in src/grt but I get a compile error as the
>>>> compiler can't find it because it is at level - 1. Is it possible to
>>>> achieve that ?
>>>
>>> No, it is not possible.  The files in src/grt are the one for the
>>> runtime (used during simulation).  They are completely independent
>>> from compiler files (src and src/vhdl).
>>>
>>> I agree it is not very clear as grt/ is within src/.  Maybe grt/
>>> should be moved ?
>>>
>>>>>> PS : Good luck for France tonight .
>>>>>
>>>>> Usually France looses against Germany
>>>> Except this time ;).
>>>
>>> Surprises happen.
>>>
>>> Tristan.
>>>
>>>
>>> _______________________________________________
>>> Ghdl-discuss mailing list
>>> Ghdl-discuss@gna.org
>>> https://mail.gna.org/listinfo/ghdl-discuss
>>>
>>
>> -------------- next part --------------
>> An HTML attachment was scrubbed...
>> URL: </public/ghdl-discuss/attachments/20160719/c7cb5b53/attachment.html>
>>
>> ------------------------------
>>
>> Message: 2
>> Date: Tue, 19 Jul 2016 18:41:24 +0200
>> From: Tristan Gingold <tging...@free.fr>
>> To: ghdl-discuss@gna.org
>> Subject: Re: [Ghdl-discuss] Collaboration in the GHDL project
>> Message-ID: <4c5af1f1-aa10-b5d1-41a0-cf770684e...@free.fr>
>> Content-Type: text/plain; charset=utf-8; format=flowed
>>
>> Hello,
>>
>>
>> On 19/07/16 12:10, Jonas Baggett wrote:
>>> Now I have a first working version of signal selection. Currently it
>>> supports only full name signals, meaning that wildcards aren't
>>> supported
>>> yet (that's number 3 in your list of important features).
>>
>> Great!
>>
>>> And currently
>>> it works only for the ghw wave format, but before I extend it to all
>>> formats, do you prefer that I make a pull request now to let you review
>>> my code or should I first add the support for all formats ?
>>
>> Why not starting review now ?
>>
>>> And I still
>>> need to add a help description and the new option I made
>>> (--wave-option-file).
>>>
>>> Some open questions :
>>>
>>> - Currently when the same signal is written several times in the wave
>>> option file, this is silently ignored. Does it worth it to add a tag to
>>> my tree in order to be able to catch that and make it an
>>> error/warning ?
>>
>> Not sure.  With wildcards duplicate may be more common.
>>
>>> - When the wave option file is void of any signal, then all the signals
>>> will be displayed just like if no wave option file was provided. Should
>>> it instead display no signals at all ?
>>
>> I think this is ok.  Displaying no signals is not very interesting!
>> Might worth emitting a warning.
>>
>> Thank you for all your efforts!
>> Tristan.
>>
>>
>>
>>
>> ------------------------------
>>
>> Message: 3
>> Date: Wed, 20 Jul 2016 12:16:24 +1000
>> From: Thomas Dejanovic <thomas.dejano...@gmail.com>
>> To: ghdl-discuss@gna.org
>> Subject: [Ghdl-discuss] Enabling Waveform Capture after some time
>> Message-ID:
>>        
>> <CAFk9-U0UU5cHF6fSNNZXF9vi-JsLSm7F20TAH2cvqrB=gbp...@mail.gmail.com>
>> Content-Type: text/plain; charset=UTF-8
>>
>> Hi all,
>>
>> I have a test that fails after @1988277252845fs. It's a randomisation
>> test so i don;t know how to make it fail sooner. The wave file is 525
>> Mbytes and GTKWave gets killed by my OS while starting up.
>>
>> Is there any way to specify a start time for data capture?  if not,
>> could this be added as a feature request?
>>
>>
>> Best Regards, Thomas D.
>> -- 
>> Sent from Tom's Fortress of Solitude!
>>
>>
>>
>> ------------------------------
>>
>> Message: 4
>> Date: Wed, 20 Jul 2016 06:50:17 +0200
>> From: Tristan Gingold <tging...@free.fr>
>> To: ghdl-discuss@gna.org
>> Subject: Re: [Ghdl-discuss] Enabling Waveform Capture after some time
>> Message-ID: <2763a69e-4fc0-4746-7e5f-0a9abd232...@free.fr>
>> Content-Type: text/plain; charset=utf-8; format=flowed
>>
>> Hello,
>>
>> On 20/07/16 04:16, Thomas Dejanovic wrote:
>>> I have a test that fails after @1988277252845fs. It's a randomisation
>>> test so i don;t know how to make it fail sooner. The wave file is 525
>>> Mbytes and GTKWave gets killed by my OS while starting up.
>>
>> fst files (--fst=) are much smaller.  Did you try them ?
>>
>>> Is there any way to specify a start time for data capture?
>>
>> No.
>>
>>  > if not,
>>> could this be added as a feature request?
>>
>> Feel free to create an issue on github for this request!
>>
>> Regards,
>> Tristan.
>>
>>
>>
>>
>> ------------------------------
>>
>> Subject: Digest Footer
>>
>> _______________________________________________
>> Ghdl-discuss mailing list
>> Ghdl-discuss@gna.org
>> https://mail.gna.org/listinfo/ghdl-discuss
>>
>>
>> ------------------------------
>>
>> End of Ghdl-discuss Digest, Vol 128, Issue 15
>> *********************************************
>>
>>
>> _______________________________________________
>> Ghdl-discuss mailing list
>> Ghdl-discuss@gna.org
>> https://mail.gna.org/listinfo/ghdl-discuss
>>
>
>
> _______________________________________________
> Ghdl-discuss mailing list
> Ghdl-discuss@gna.org
> https://mail.gna.org/listinfo/ghdl-discuss


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to