Od:   Milan Jakeš <ja...@datapartner.cz> 
 Komu:   <g...@free.fr> 
 Odesláno:   10.8.2016 11:16 
 Předmět:   GHDL recursion use of component problem 


Hi,
firstly thanks for creating of GHDL, it's really great tool. I tried to make a 
prefix adder design by recursion use of a prefix_tree entity. But at 
elaboration GHDL has some problem. So I cut the logic from the design  of and 
let only simple direct connection of ports made by recursive entity use, but 
the error still remains.



******************** GHDL Bug occured ****************************
Please report this bug on http://gna.org/projects/ghdl
GHDL release: GHDL 0.33 (20150921) [Dunoon edition]
Compiled with GNAT Version: GPL 2014 (20140331)
In directory: C:\EDA\VHDL\
Command line:
c:\EDA\ghdl-0.33\bin\ghdl.exe -e --std=08 test prefix_tree_a
Exception CONSTRAINT_ERROR raised
Exception information:
Exception name: CONSTRAINT_ERROR
Message: trans-chap1.adb:850 access check failed
******************************************************************


Related desing file is in attachmnet.


Best regards, Milan.

Attachment: ADD_PRF.vhdl
Description: Binary data

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to