Again.
It must be a my misfortune ;)
or the fact I am using slackware.

Since I was facing a bug which had to do with VHPI,

see : https://github.com/tgingold/ghdl/issues/152

Tristan proposed to use ghdl dev 0.34 in where a particular bug should have
been solved. I downloaded the 2016-09-14 release from
https://github.com/tgingold/ghdl/releases.

Here it goes again.

My machine :
Linux hobbes 4.4.8 #2 SMP Fri Apr 22 16:50:35 CDT 2016 x86_64 Intel(R)
Xeon(R) CPU           X5570  @ 2.93GHz GenuineIntel GNU/Linux

Slackware version : 14.2

I tried 3 different approaches. All based on source build.

1. https://github.com/tgingold/ghdl/blob/master/README.md
The short instructions.

2. https://github.com/tgingold/ghdl/blob/master/BUILD.txt

3. https://sourceforge.net/projects/ghdl-updates/files/Scripts/ghdl-0.33/
Where in I modified the script to work with ghdl-2016-09-14

Results of 1 :
It produces the .cf file and ghdl says :
ghdl: unknown option '-Wl,ax_wb_pli.o' for command '-m'

Results of 2 :

During the make ghdllib

mv ghdl_main-tmp.ali ghdl_main.ali
gnatbind -Lgrt_ -o run-bind.adb -n ghdl_main.ali
gcc -c -g -gnatec./src/grt/grt.adc -gnat05 -gnatdY -o run-bind.o
run-bind.adb
gcc: error: run-bind.adb: Ada compiler not installed on this system
src/grt/Makefile.inc:119: recipe for target 'run-bind.o' failed
make: *** [run-bind.o] Error 1

However when I run a :

$> gnat --version
GNAT 5.3.0
Copyright 1996-2015, Free Software Foundation, Inc.

So it is there.

With an older version

GNAT GPL 2014 (20140331)
Copyright (C) 1996-2014, Free Software Foundation, Inc.
This is free software; see the source for copying conditions.
There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A
PARTICULAR PURPOSE.

I am getting the exact same error.

Results of 3 :
The script is trying to make a tar file which includes :

   - bin/ghdl
   - lib/gcc/*/*/vhdl
   - libexec/gcc/*/*/ghdl1
   - share/info/ghdl.info
   - share/man/man1/ghdl.1

The build has not created the lib/gcc/*/*/vhdl.


Any one?


bg,

Simon
_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to