Regarding "we":
My viewer somehow introduced a linebreak and created a standalone we tag :)

Mistery solved.

-----------------------------------
Wissenschaftliche Hilfskraft
Technische Universität Dresden
Fakultät Informatik
Institut für Technische Informatik
Lehrstuhl VLSI-Entwurfssysteme, Diagnostik und Architektur        
01062 Dresden, GERMANY
Tel.:  +49 351 463-38451                                       Fax:  +49 351 463-38324
E-Mail: patrick.lehm...@tu-dresden.de  
WWW:    http://vlsi-eda.inf.tu-dresden.de

-------- Ursprüngliche Nachricht --------
Von: Tristan Gingold
Datum:15.10.2016 11:49 (GMT+01:00)
An: ghdl-discuss@gna.org
Betreff: Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump

On 15/10/16 11:07, Patrick Lehmann wrote:
> Hello Tristan,
>
> I have seen many bad structured XML formats in my life, but GHDL's
> output looks VERY GOOD! Especially that you use XML attributes and ids.

It is written by hand and not very complex.
Nodes have id, lists have list-id.  This is not very regular, so maybe
all XML elements must have id (I will certainly use nXX for nodes and
lXX for lists in that case).

> Two notes:
> 1)
> You are almost using long readable names except for el and we. Can give
> the long name?

el stands for element of a list or of a chain.  That's not very
interesting so I plan to keep it.

we ?  No, it doesn't exist.  There is we_value which stands for
waveform_element_value.  This comes directly from iirs.ads, so no plan
to change it immediately.

> 2)
> The output needs a root element like design_file and a version number
> for the AST version.

That was only an excerpt.  The start of the file is:

<?xml version="1.0" encoding="UTF-8" standalone="yes"?>
<root>
   <el id="11" kind="library_declaration" file="*implicit*" line="1" col="1"
    identifier="std" date="12"
...

Adding a version to root is a good idea.

Tristan.


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Attachment: smime.p7s
Description: S/MIME Cryptographic Signature

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to