Hallo,

last year I wrote an article about ghdl. I was a practical guide how to
simulate an UART example.
After the announcement I got some privat Email, what should I improve or
also some additional requests. Now I can satisfy all desirous. I have
revised and put some new sections in the new version.

addtional topics:
- an example application
- makefile for better workflow
- file read/write in testbench
-VHPI interface


You see many news information for speed up your work.


You can found the article on my homepage:
http://www.dossmatik.de/ghdl/GHDL_uart_sim.pdf

And the example files:
http://www.dossmatik.de/ghdl/UART.zip


best Regards

René Doß

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to