thank you!

On Thu, 16 Dec 2004 14:00:37 -0500, Michael Fischer <[EMAIL PROTECTED]> wrote:
> That's great news!  Thanks a ton.
>
> Adrian Finol wrote:
> > We are planning on releasing the HL2DM source code but don't have a date
> > for it right now.
> >
> > -----Original Message-----
> > From: [EMAIL PROTECTED]
> > [mailto:[EMAIL PROTECTED] On Behalf Of Kyle Keating
> > Sent: Wednesday, December 15, 2004 8:35 PM
> > To: [EMAIL PROTECTED]
> > Subject: Re: [hlcoders] should I wait for hl2dm sdk?
> >
> > I worked on and complete a hl1 mod for two years. I became very
> > efficient with that SDK and so far, hl2 seems very similar. If I need to
> > learn anything, its the new entity structure and some new variable names
> > and vgui 2.0. Other than that I just want to improve hldm2. My entire
> > hl1 mod was aimed at improving hldm1 and we developed lots of robust
> > features that we would like to incorporated into hldm2 before it gets
> > boring and dies out. With only 2 maps and and no features, the mod
> > (hldm2) as it stands is pretty bare, and valve would do itself justice
> > by realising the SDK to the experience programmers who have the
> > motivation and enthusiasm to help the game. I don't want the SDK to
> > learn. I did that, I want the SDK to make hl2dm worthy of its name.
> >
> >
> > On Wed, 15 Dec 2004 22:35:23 -0500, John bKT Bellone
> > <[EMAIL PROTECTED]> wrote:
> >
> >>ChessMess wrote:
> >>
> >>
> >>>Learning through example is much more efficient then learning by
> >>>trial. If you have the time to spare, learn by trial. If you need to
> >>>get up to speed quickly or you don't have the time to spare, learn by
> >
> >
> >>>example.
> >>>
> >>>What ever became of that Book that was suppose to be published about
> >>>modding for HL2?
> >>>
> >>>http://www.amazon.com/exec/obidos/tg/detail/-/0761543635/qid=11031454
> >>>08/sr=1-3/ref=sr_1_3/102-8508584-8465730?v=glance&s=books
> >>>
> >>>_______________________________________________
> >>>To unsubscribe, edit your list preferences, or view the list
> >
> > archives, please visit:
> >
> >>>http://list.valvesoftware.com/mailman/listinfo/hlcoders
> >>>
> >>>
> >>>
> >>>
> >>>
> >>>
> >>>
> >>
> >>Not sure... I usually learn faster by learning by trial because I know
> >
> >
> >>exactly what is happening, and I don't need to fish around someone
> >>elses' code.
> >>
> >>Normally I look at entities (in this SDK at least) that would most
> >>resemble what I am attempting to create, therefore I have somewhat of
> >>a basis when creating mine. The power of "Find in Files" :)
> >>
> >>--
> >>-John "bKT" Bellone
> >>Project Manager, Programmer
> >>Flipside Software
> >>http://www.flipsidesoftware.com
> >>
> >>
> >>_______________________________________________
> >>To unsubscribe, edit your list preferences, or view the list archives,
> >
> > please visit:
> >
> >>http://list.valvesoftware.com/mailman/listinfo/hlcoders
> >>
> >>
> >
> >
> > _______________________________________________
> > To unsubscribe, edit your list preferences, or view the list archives,
> > please visit:
> > http://list.valvesoftware.com/mailman/listinfo/hlcoders
> >
> >
> >
> >
> > _______________________________________________
> > To unsubscribe, edit your list preferences, or view the list archives, 
> > please visit:
> > http://list.valvesoftware.com/mailman/listinfo/hlcoders
> >
> >
> >
> >
>
> _______________________________________________
> To unsubscribe, edit your list preferences, or view the list archives, please 
> visit:
> http://list.valvesoftware.com/mailman/listinfo/hlcoders
>
>

_______________________________________________
To unsubscribe, edit your list preferences, or view the list archives, please 
visit:
http://list.valvesoftware.com/mailman/listinfo/hlcoders

Reply via email to