RFC for modular queue interface using odp_queue_t towards internal ODP
components. There is no internal abstract queue type.
Signed-off-by: Honnappa Nagarahalli honnappa.nagaraha...@arm.com

----------------github------------------------
/** Email created from pull request 54 (nagarahalli:api-next-modq)
 ** https://github.com/Linaro/odp/pull/54
 ** Patch: https://github.com/Linaro/odp/pull/54.patch
 ** Base sha: 361f0fa4addf83ae9b30d5e705e1f86643a45bab
 ** Merge commit sha: dae9ea9957c88c862708fbf6e26656e962fd0d6b
 **/
----------------/github------------------------

----------------checkpatch.pl------------------------
ERROR: trailing whitespace
#54: FILE: log:11:
+ $

ERROR: trailing whitespace
#66: FILE: log:23:
+ $

ERROR: trailing whitespace
#83: FILE: log:40:
+ $

ERROR: trailing whitespace
#86: FILE: log:43:
+ $

ERROR: trailing whitespace
#96: FILE: log:53:
+ $

ERROR: trailing whitespace
#101: FILE: log:58:
+ $

ERROR: trailing whitespace
#107: FILE: log:64:
+ $

ERROR: trailing whitespace
#112: FILE: log:69:
+ $

ERROR: trailing whitespace
#117: FILE: log:74:
+ $

ERROR: trailing whitespace
#118: FILE: log:75:
+ $

ERROR: trailing whitespace
#123: FILE: log:80:
+ $

ERROR: trailing whitespace
#128: FILE: log:85:
+ $

ERROR: trailing whitespace
#138: FILE: log:95:
+ $

ERROR: trailing whitespace
#181: FILE: log:138:
+ $

ERROR: trailing whitespace
#200: FILE: log:157:
+ $

ERROR: trailing whitespace
#210: FILE: log:167:
+ $

ERROR: trailing whitespace
#215: FILE: log:172:
+ $

ERROR: trailing whitespace
#222: FILE: log:179:
+ $

ERROR: trailing whitespace
#229: FILE: log:186:
+ $

ERROR: trailing whitespace
#255: FILE: log:212:
+ $

ERROR: trailing whitespace
#268: FILE: log:225:
+ $

ERROR: trailing whitespace
#275: FILE: log:232:
+ $

ERROR: trailing whitespace
#277: FILE: log:234:
+ $

ERROR: trailing whitespace
#286: FILE: log:243:
+ $

ERROR: trailing whitespace
#290: FILE: log:247:
+ $

ERROR: trailing whitespace
#294: FILE: log:251:
+ $

ERROR: trailing whitespace
#297: FILE: log:254:
+ $

ERROR: trailing whitespace
#302: FILE: log:259:
+ $

ERROR: trailing whitespace
#311: FILE: log:268:
+ $

ERROR: trailing whitespace
#315: FILE: log:272:
+ $

ERROR: trailing whitespace
#325: FILE: log:282:
+ $

ERROR: trailing whitespace
#334: FILE: log:291:
+ $

ERROR: trailing whitespace
#341: FILE: log:298:
+ $

ERROR: trailing whitespace
#350: FILE: log:307:
+ $

ERROR: trailing whitespace
#359: FILE: log:316:
+ $

ERROR: trailing whitespace
#367: FILE: log:324:
+ $

ERROR: trailing whitespace
#376: FILE: log:333:
+ $

ERROR: trailing whitespace
#384: FILE: log:341:
+ $

ERROR: trailing whitespace
#392: FILE: log:349:
+ $

ERROR: trailing whitespace
#397: FILE: log:354:
+ $

ERROR: trailing whitespace
#400: FILE: log:357:
+ $

ERROR: trailing whitespace
#406: FILE: log:363:
+ $

ERROR: trailing whitespace
#410: FILE: log:367:
+ $

ERROR: trailing whitespace
#428: FILE: log:385:
+ $

ERROR: trailing whitespace
#436: FILE: log:393:
+ $

ERROR: trailing whitespace
#440: FILE: log:397:
+ $

ERROR: trailing whitespace
#444: FILE: log:401:
+ $

ERROR: trailing whitespace
#454: FILE: log:411:
+ $

ERROR: trailing whitespace
#464: FILE: log:421:
+ $

ERROR: trailing whitespace
#480: FILE: log:437:
+ $

ERROR: trailing whitespace
#486: FILE: log:443:
+ $

ERROR: trailing whitespace
#493: FILE: log:450:
+ $

ERROR: trailing whitespace
#500: FILE: log:457:
+ $

ERROR: trailing whitespace
#505: FILE: log:462:
+ $

ERROR: trailing whitespace
#554: FILE: log:511:
+ $

ERROR: trailing whitespace
#560: FILE: log:517:
+ $

ERROR: trailing whitespace
#566: FILE: log:523:
+ $

ERROR: trailing whitespace
#572: FILE: log:529:
+ $

ERROR: trailing whitespace
#578: FILE: log:535:
+ $

ERROR: trailing whitespace
#583: FILE: log:540:
+ $

ERROR: trailing whitespace
#587: FILE: log:544:
+ $

ERROR: trailing whitespace
#647: FILE: log:604:
+ $

ERROR: trailing whitespace
#709: FILE: log:666:
+ $

ERROR: trailing whitespace
#715: FILE: log:672:
+ $

ERROR: trailing whitespace
#720: FILE: log:677:
+ $

ERROR: trailing whitespace
#729: FILE: log:686:
+ $

ERROR: trailing whitespace
#734: FILE: log:691:
+ $

ERROR: trailing whitespace
#738: FILE: log:695:
+ $

ERROR: trailing whitespace
#745: FILE: log:702:
+ $

ERROR: trailing whitespace
#750: FILE: log:707:
+ $

ERROR: trailing whitespace
#754: FILE: log:711:
+ $

ERROR: trailing whitespace
#757: FILE: log:714:
+ $

ERROR: trailing whitespace
#760: FILE: log:717:
+ $

ERROR: trailing whitespace
#765: FILE: log:722:
+ $

ERROR: trailing whitespace
#770: FILE: log:727:
+ $

ERROR: trailing whitespace
#774: FILE: log:731:
+ $

ERROR: trailing whitespace
#778: FILE: log:735:
+ $

ERROR: trailing whitespace
#787: FILE: log:744:
+ $

ERROR: trailing whitespace
#796: FILE: log:753:
+ $

ERROR: trailing whitespace
#803: FILE: log:760:
+ $

ERROR: trailing whitespace
#812: FILE: log:769:
+ $

ERROR: trailing whitespace
#815: FILE: log:772:
+ $

ERROR: trailing whitespace
#818: FILE: log:775:
+ $

ERROR: trailing whitespace
#824: FILE: log:781:
+ $

ERROR: trailing whitespace
#829: FILE: log:786:
+ $

ERROR: trailing whitespace
#835: FILE: log:792:
+ $

ERROR: trailing whitespace
#989: FILE: log:946:
+ $

ERROR: trailing whitespace
#994: FILE: log:951:
+ $

ERROR: trailing whitespace
#998: FILE: log:955:
+ $

ERROR: trailing whitespace
#1003: FILE: log:960:
+ $

ERROR: trailing whitespace
#1007: FILE: log:964:
+ $

ERROR: trailing whitespace
#1016: FILE: log:973:
+ $

ERROR: trailing whitespace
#1019: FILE: log:976:
+ $

ERROR: trailing whitespace
#1025: FILE: log:982:
+ $

ERROR: trailing whitespace
#1032: FILE: log:989:
+ $

ERROR: trailing whitespace
#1040: FILE: log:997:
+ $

ERROR: trailing whitespace
#1047: FILE: log:1004:
+ $

ERROR: trailing whitespace
#1055: FILE: log:1012:
+ $

ERROR: trailing whitespace
#1062: FILE: log:1019:
+ $

ERROR: trailing whitespace
#1069: FILE: log:1026:
+ $

ERROR: trailing whitespace
#1076: FILE: log:1033:
+ $

ERROR: trailing whitespace
#1084: FILE: log:1041:
+ $

ERROR: trailing whitespace
#1091: FILE: log:1048:
+ $

ERROR: trailing whitespace
#1102: FILE: log:1059:
+ $

ERROR: trailing whitespace
#1109: FILE: log:1066:
+ $

ERROR: trailing whitespace
#1130: FILE: log:1087:
+ $

ERROR: trailing whitespace
#1136: FILE: log:1093:
+ $

ERROR: trailing whitespace
#1145: FILE: log:1102:
+ $

ERROR: trailing whitespace
#1155: FILE: log:1112:
+ $

ERROR: trailing whitespace
#1163: FILE: log:1120:
+ $

ERROR: trailing whitespace
#1167: FILE: log:1124:
+ $

ERROR: trailing whitespace
#1176: FILE: log:1133:
+ $

ERROR: trailing whitespace
#1182: FILE: log:1139:
+ $

ERROR: trailing whitespace
#1187: FILE: log:1144:
+ $

ERROR: trailing whitespace
#1191: FILE: log:1148:
+ $

ERROR: trailing whitespace
#1200: FILE: log:1157:
+ $

ERROR: trailing whitespace
#1210: FILE: log:1167:
+ $

ERROR: trailing whitespace
#1219: FILE: log:1176:
+ $

ERROR: trailing whitespace
#1234: FILE: log:1191:
+ $

ERROR: trailing whitespace
#1240: FILE: log:1197:
+ $

ERROR: trailing whitespace
#1249: FILE: log:1206:
+ $

ERROR: trailing whitespace
#1267: FILE: log:1224:
+ $

ERROR: trailing whitespace
#1270: FILE: log:1227:
+ $

ERROR: trailing whitespace
#1287: FILE: log:1244:
+ $

ERROR: trailing whitespace
#1289: FILE: log:1246:
+ $

ERROR: trailing whitespace
#1293: FILE: log:1250:
+ $

ERROR: trailing whitespace
#1305: FILE: log:1262:
+ $

ERROR: trailing whitespace
#1307: FILE: log:1264:
+ $

ERROR: trailing whitespace
#1311: FILE: log:1268:
+ $

total: 129 errors, 0 warnings, 0 checks, 2378 lines checked

NOTE: whitespace errors detected, you may wish to use scripts/cleanpatch or
      scripts/cleanfile


to_send-p-000.patch has style problems, please review.

If any of these errors are false positives, please report
them to the maintainer, see CHECKPATCH in MAINTAINERS.
----------------/checkpatch.pl------------------------

Reply via email to