On 12/22/2009 11:35 AM, stan wrote:
int_if = "eme0"

?

Reply via email to