All,

I am running ReviewBoard 1.0.5.1 and am trying to review VHDL code.
It does not seem to have any syntax highlighting.
I though that the syntax highlighting was provided by Pygments which
seems to suggest that it does support VHDL highlighting (.vhd)
Am I correct in the use of Pygments and is there anyway to find out
why VHDL code is not being syntax highlighted?

Hope you can help
Daniel Laird

-- 
Want to help the Review Board project? Donate today at 
http://www.reviewboard.org/donate/
Happy user? Let us know at http://www.reviewboard.org/users/
-~----------~----~----~----~------~----~------~--~---
To unsubscribe from this group, send email to 
reviewboard+unsubscr...@googlegroups.com
For more options, visit this group at 
http://groups.google.com/group/reviewboard?hl=en

Reply via email to