[arch-commits] Commit in cloog/trunk (PKGBUILD cloog-0.18.1-isl-compat.patch)

2014-06-05 Thread Allan McRae
Date: Thursday, June 5, 2014 @ 08:51:32
  Author: allan
Revision: 214294

upgpkg: cloog 0.18.1-3

isl rebuild, big compatibility patch

Added:
  cloog/trunk/cloog-0.18.1-isl-compat.patch
Modified:
  cloog/trunk/PKGBUILD

---+
 PKGBUILD  |   15 
 cloog-0.18.1-isl-compat.patch | 1159 
 2 files changed, 1171 insertions(+), 3 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2014-06-05 06:33:26 UTC (rev 214293)
+++ PKGBUILD2014-06-05 06:51:32 UTC (rev 214294)
@@ -3,15 +3,24 @@
 
 pkgname=cloog
 pkgver=0.18.1
-pkgrel=2
+pkgrel=3
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;
 license=('GPL')
 depends=('isl')
-source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('e34fca0540d840e5d0f6427e98c92252')
+source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz
+cloog-0.18.1-isl-compat.patch)
+md5sums=('e34fca0540d840e5d0f6427e98c92252'
+ '976c999b44c6e364455a670d12523242')
 
+prepare() {
+  cd $srcdir/$pkgname-$pkgver
+  
+  # combination of upstream commits b561f860, 2d8b7c6b and 22643c94
+  patch -p1 -i $srcdir/cloog-0.18.1-isl-compat.patch
+}
+
 build() {
   cd $srcdir/$pkgname-$pkgver
   ./configure --prefix=/usr --with-isl=system

Added: cloog-0.18.1-isl-compat.patch
===
--- cloog-0.18.1-isl-compat.patch   (rev 0)
+++ cloog-0.18.1-isl-compat.patch   2014-06-05 06:51:32 UTC (rev 214294)
@@ -0,0 +1,1159 @@
+
+diff --git a/source/isl/domain.c b/source/isl/domain.c
+index d11da7b..620584d 100644
+--- a/source/isl/domain.c
 b/source/isl/domain.c
+@@ -1389,20 +1389,20 @@ CloogDomain *cloog_domain_cube(CloogState *state,
+   int dim, cloog_int_t min, cloog_int_t max)
+ {
+   int i;
+-  struct isl_basic_set *cube;
+-  struct isl_basic_set *interval;
+-  struct isl_basic_set_list *list;
++  isl_space *space;
++  isl_set *cube;
+ 
+   if (dim == 0)
+   return cloog_domain_universe(state, dim);
+ 
+-  interval = isl_basic_set_interval(state-backend-ctx, min, max);
+-  list = isl_basic_set_list_alloc(state-backend-ctx, dim);
+-  for (i = 0; i  dim; ++i)
+-  list = isl_basic_set_list_add(list, 
isl_basic_set_copy(interval));
+-  isl_basic_set_free(interval);
+-  cube = isl_basic_set_list_product(list);
+-  return cloog_domain_from_isl_set(isl_set_from_basic_set(cube));
++  space = isl_space_set_alloc(state-backend-ctx, 0, dim);
++  cube = isl_set_universe(space);
++  for (i = 0; i  dim; ++i) {
++  cube = isl_set_lower_bound(cube, isl_dim_set, i, min);
++  cube = isl_set_upper_bound(cube, isl_dim_set, i, max);
++  }
++
++  return cloog_domain_from_isl_set(cube);
+ }
+ 
+ 
+diff --git a/include/cloog/isl/constraintset.h 
b/include/cloog/isl/constraintset.h
+index c3c2eed..5d48cdb 100644
+--- a/include/cloog/isl/constraintset.h
 b/include/cloog/isl/constraintset.h
+@@ -27,6 +27,12 @@ CloogConstraintSet 
*cloog_constraint_set_from_isl_basic_set(struct isl_basic_set
+ CloogConstraint *cloog_constraint_from_isl_constraint(struct isl_constraint 
*constraint);
+ isl_constraint *cloog_constraint_to_isl(CloogConstraint *constraint);
+ 
++__isl_give isl_val *cloog_int_to_isl_val(isl_ctx* ctx, cloog_int_t c);
++void isl_val_to_cloog_int(__isl_keep isl_val *val, cloog_int_t *cint);
++
++__isl_give isl_val *cloog_constraint_coefficient_get_val(CloogConstraint 
*constraint,
++  int var);
++
+ #if defined(__cplusplus)
+   }
+ #endif 
+diff --git a/source/isl/constraints.c b/source/isl/constraints.c
+index e86..73d72df 100644
+--- a/source/isl/constraints.c
 b/source/isl/constraints.c
+@@ -5,11 +5,51 @@
+ #include cloog/isl/backend.h
+ #include isl/aff.h
+ #include isl/set.h
++#include isl/val.h
++#include isl/val_gmp.h
+ 
+ 
+ #define ALLOC(type) (type*)malloc(sizeof(type))
+ #define ALLOCN(type,n) (type*)malloc((n)*sizeof(type))
+ 
++__isl_give isl_val *cloog_int_to_isl_val(isl_ctx* ctx, cloog_int_t c)
++{
++  isl_val *v;
++#if defined(CLOOG_INT_INT)
++  v = isl_val_int_from_si(ctx, c);
++#elif defined(CLOOG_INT_LONG)
++  v = isl_val_int_from_si(ctx, c);
++#elif defined(CLOOG_INT_LONG_LONG)
++  v = isl_val_int_from_si(ctx, c);
++#elif defined(CLOOG_INT_GMP)
++  v = isl_val_int_from_gmp(ctx, c);
++#else
++#error No integer type defined
++#endif
++  return v;
++}
++
++/*
++ * CLooG'll be dealing in integers so we expect numerator/1 form
++ * from isl_val. Thus get numerator to assign to cloog_int
++ */
++void isl_val_to_cloog_int(__isl_keep isl_val *val, cloog_int_t *cint)
++{
++  assert(isl_val_is_int(val));
++#if defined(CLOOG_INT_INT)

[arch-commits] Commit in cloog/trunk (PKGBUILD)

2013-10-20 Thread Allan McRae
Date: Sunday, October 20, 2013 @ 15:22:14
  Author: allan
Revision: 196858

upgpkg: cloog 0.18.1-2

remove static libraries

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |5 ++---
 1 file changed, 2 insertions(+), 3 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2013-10-20 13:17:06 UTC (rev 196857)
+++ PKGBUILD2013-10-20 13:22:14 UTC (rev 196858)
@@ -3,13 +3,12 @@
 
 pkgname=cloog
 pkgver=0.18.1
-pkgrel=1
+pkgrel=2
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;
 license=('GPL')
-depends=('isl' 'gmp')
-options=('!libtool')
+depends=('isl')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
 md5sums=('e34fca0540d840e5d0f6427e98c92252')
 



[arch-commits] Commit in cloog/trunk (PKGBUILD cloog-0.18.0-isl-0.11.2.patch)

2013-10-14 Thread Allan McRae
Date: Tuesday, October 15, 2013 @ 04:04:11
  Author: allan
Revision: 196536

upgpkg: cloog 0.18.1-1

upstream update, remove included patch

Modified:
  cloog/trunk/PKGBUILD
Deleted:
  cloog/trunk/cloog-0.18.0-isl-0.11.2.patch

---+
 PKGBUILD  |   17 +--
 cloog-0.18.0-isl-0.11.2.patch |   95 
 2 files changed, 4 insertions(+), 108 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2013-10-14 22:08:24 UTC (rev 196535)
+++ PKGBUILD2013-10-15 02:04:11 UTC (rev 196536)
@@ -2,8 +2,8 @@
 # Maintainer: Allan McRae al...@archlinux.org
 
 pkgname=cloog
-pkgver=0.18.0
-pkgrel=2
+pkgver=0.18.1
+pkgrel=1
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;
@@ -10,18 +10,9 @@
 license=('GPL')
 depends=('isl' 'gmp')
 options=('!libtool')
-source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz
-cloog-0.18.0-isl-0.11.2.patch)
-md5sums=('be78a47bd82523250eb3e91646db5b3d'
- '1bec9a7f1c3e9e0f3f10f23898f7148d')
+source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
+md5sums=('e34fca0540d840e5d0f6427e98c92252')
 
-prepare() {
-  cd $srcdir/$pkgname-$pkgver
-  
-  # test-suite fix - commit fc8b0627
-  patch -p1 -i $srcdir/cloog-0.18.0-isl-0.11.2.patch
-}
-
 build() {
   cd $srcdir/$pkgname-$pkgver
   ./configure --prefix=/usr --with-isl=system

Deleted: cloog-0.18.0-isl-0.11.2.patch
===
--- cloog-0.18.0-isl-0.11.2.patch   2013-10-14 22:08:24 UTC (rev 196535)
+++ cloog-0.18.0-isl-0.11.2.patch   2013-10-15 02:04:11 UTC (rev 196536)
@@ -1,95 +0,0 @@
-diff --git a/test/darte.c b/test/darte.c
-index e185b7a..2a4a1b8 100644
 a/test/darte.c
-+++ b/test/darte.c
-@@ -6,14 +6,14 @@ if (n = 1) {
- }
-   }
-   for (t1=-n+2;t1=n-1;t1++) {
--if (t1 = 2) {
-+if (t1 = 0) {
-   for (t3=t1+4;t3=t1+2*n+2;t3++) {
- if ((t1+t3)%2 == 0) {
-   S1(t1+1,1,(-t1+t3-2)/2);
- }
-   }
- }
--for (t2=max(-t1+2,t1+2);t2=-t1+4;t2++) {
-+for (t2=max(-t1+2,t1+3);t2=-t1+4;t2++) {
-   for (t3=t2+2;t3=t2+2*n;t3++) {
- if ((t1+t2)%2 == 0) {
-   if ((t1+t3)%2 == 0) {
-diff --git a/test/dartef.f b/test/dartef.f
-index 50e1073..3b5e3e2 100644
 a/test/dartef.f
-+++ b/test/dartef.f
-@@ -6,14 +6,14 @@ IF (n = 1) THEN
- END IF
-   END DO
-   DO t1=-n+2, n-1
--IF (t1 = 2) THEN
-+IF (t1 = 0) THEN
-   DO t3=t1+4, t1+2*n+2
- IF (MOD(t1+t3, 2) == 0) THEN
-   S1(t1+1,1,(-t1+t3-2)/2)
- END IF
-   END DO
- END IF
--DO t2=MAX(-t1+2,t1+2), -t1+4
-+DO t2=MAX(-t1+2,t1+3), -t1+4
-   DO t3=t2+2, t2+2*n
- IF (MOD(t1+t2, 2) == 0) THEN
-   IF (MOD(t1+t3, 2) == 0) THEN
-diff --git a/test/dealII.c b/test/dealII.c
-index 200b257..5d28c4a 100644
 a/test/dealII.c
-+++ b/test/dealII.c
-@@ -3,10 +3,13 @@ for (scat_0=0;scat_0=min(T_66,T_2-1);scat_0++) {
-   S1(scat_0);
-   S2(scat_0);
- }
--if ((T_2 == 0)  (T_67 == 0)) {
-+if ((T_2 == 0)  (T_66 = 0)  (T_67 == 0)) {
-   S1(0);
- }
--for (scat_0=max(0,T_66+1);scat_0=T_2-1;scat_0++) {
-+if ((T_66 = -1)  (T_67 == 0)) {
-+  S1(0);
-+}
-+for (scat_0=max(max(0,T_66+1),-T_67+1);scat_0=T_2-1;scat_0++) {
-   S1(scat_0);
- }
- for (scat_0=T_2;scat_0=min(T_66,T_67-1);scat_0++) {
-diff --git a/test/isl/jacobi-shared.c b/test/isl/jacobi-shared.c
-index e8e5ec7..9e345c6 100644
 a/test/isl/jacobi-shared.c
-+++ b/test/isl/jacobi-shared.c
-@@ -1,6 +1,6 @@
- /* Generated from ../../../git/cloog/test/isl/jacobi-shared.cloog by CLooG 
0.16.3-2-g5511bef gmp bits in 1.82s. */
- if ((h0+1)%2 == 0) {
--  if ((16*floord(t0-1,16) = -N+g1+t0+1)  (16*floord(N+15*g1+15*t0+15,16) 
= 15*g1+15*t0+19)  (32*floord(t1-1,32) = g2+t1-3)  (32*floord(t1-1,32) = 
-N+g2+t1+1)) {
-+  if ((16*floord(N+15*g1+15*t0+15,16) = 15*g1+15*t0+19)  
(16*floord(N+15*g1+15*t0+15,16) = 16*g1+15*t0+17)  (32*floord(t1-1,32) = 
g2+t1-3)  (32*floord(t1-1,32) = -N+g2+t1+1)) { 
- for 
(c0=max(-16*floord(t0-1,16)+t0,-16*floord(g1+t0-3,16)+t0);c0=min(32,N-g1-1);c0+=16)
 {
-   c1 = 32*floord(-t1,32)+t1+32;
-   if (c1 = 32) {
-diff --git a/test/vasilache.c b/test/vasilache.c
-index 5a00a33..fdff031 100644
 a/test/vasilache.c
-+++ b/test/vasilache.c
-@@ -15,12 +15,12 @@ for (p1=0;p1=N-1;p1++) {
- S6(p1,p3,p5,p7-1);
- S7(p1,p3,p5,p7);
-   }
--  if (p5 = floord(N-33,32)) {
--S6(p1,p3,p5,32*p5+31);
--  }
--  if (p5 = ceild(N-32,32)) {
-+  if (p5 = ceild(N-31,32)) {
- S6(p1,p3,p5,N-1);
-   }
-+  if (p5 = floord(N-32,32)) {
-+S6(p1,p3,p5,32*p5+31);
-+  }
- }
-   }
- }



[arch-commits] Commit in cloog/trunk (PKGBUILD cloog-0.18.0-isl-0.11.2.patch)

2013-06-16 Thread Allan McRae
Date: Monday, June 17, 2013 @ 03:17:44
  Author: allan
Revision: 188616

upgpkg: cloog 0.18.0-2

fix testsuite with new isl

Added:
  cloog/trunk/cloog-0.18.0-isl-0.11.2.patch
Modified:
  cloog/trunk/PKGBUILD

---+
 PKGBUILD  |   23 ++---
 cloog-0.18.0-isl-0.11.2.patch |   95 
 2 files changed, 111 insertions(+), 7 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2013-06-17 00:43:00 UTC (rev 188615)
+++ PKGBUILD2013-06-17 01:17:44 UTC (rev 188616)
@@ -3,28 +3,37 @@
 
 pkgname=cloog
 pkgver=0.18.0
-pkgrel=1
+pkgrel=2
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;
 license=('GPL')
 depends=('isl' 'gmp')
 options=('!libtool')
-source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('be78a47bd82523250eb3e91646db5b3d')
+source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz
+cloog-0.18.0-isl-0.11.2.patch)
+md5sums=('be78a47bd82523250eb3e91646db5b3d'
+ '1bec9a7f1c3e9e0f3f10f23898f7148d')
 
+prepare() {
+  cd $srcdir/$pkgname-$pkgver
+  
+  # test-suite fix - commit fc8b0627
+  patch -p1 -i $srcdir/cloog-0.18.0-isl-0.11.2.patch
+}
+
 build() {
-  cd $srcdir/$pkgname-$pkgver
+  cd $srcdir/$pkgname-$pkgver
   ./configure --prefix=/usr --with-isl=system
   make
 }
 
 check() {
-  cd $srcdir/$pkgname-$pkgver
+  cd $srcdir/$pkgname-$pkgver
   make check
 }
 
 package() {
-  cd $srcdir/$pkgname-$pkgver
-  make DESTDIR=$pkgdir/ install
+  cd $srcdir/$pkgname-$pkgver
+  make DESTDIR=$pkgdir/ install
 }

Added: cloog-0.18.0-isl-0.11.2.patch
===
--- cloog-0.18.0-isl-0.11.2.patch   (rev 0)
+++ cloog-0.18.0-isl-0.11.2.patch   2013-06-17 01:17:44 UTC (rev 188616)
@@ -0,0 +1,95 @@
+diff --git a/test/darte.c b/test/darte.c
+index e185b7a..2a4a1b8 100644
+--- a/test/darte.c
 b/test/darte.c
+@@ -6,14 +6,14 @@ if (n = 1) {
+ }
+   }
+   for (t1=-n+2;t1=n-1;t1++) {
+-if (t1 = 2) {
++if (t1 = 0) {
+   for (t3=t1+4;t3=t1+2*n+2;t3++) {
+ if ((t1+t3)%2 == 0) {
+   S1(t1+1,1,(-t1+t3-2)/2);
+ }
+   }
+ }
+-for (t2=max(-t1+2,t1+2);t2=-t1+4;t2++) {
++for (t2=max(-t1+2,t1+3);t2=-t1+4;t2++) {
+   for (t3=t2+2;t3=t2+2*n;t3++) {
+ if ((t1+t2)%2 == 0) {
+   if ((t1+t3)%2 == 0) {
+diff --git a/test/dartef.f b/test/dartef.f
+index 50e1073..3b5e3e2 100644
+--- a/test/dartef.f
 b/test/dartef.f
+@@ -6,14 +6,14 @@ IF (n = 1) THEN
+ END IF
+   END DO
+   DO t1=-n+2, n-1
+-IF (t1 = 2) THEN
++IF (t1 = 0) THEN
+   DO t3=t1+4, t1+2*n+2
+ IF (MOD(t1+t3, 2) == 0) THEN
+   S1(t1+1,1,(-t1+t3-2)/2)
+ END IF
+   END DO
+ END IF
+-DO t2=MAX(-t1+2,t1+2), -t1+4
++DO t2=MAX(-t1+2,t1+3), -t1+4
+   DO t3=t2+2, t2+2*n
+ IF (MOD(t1+t2, 2) == 0) THEN
+   IF (MOD(t1+t3, 2) == 0) THEN
+diff --git a/test/dealII.c b/test/dealII.c
+index 200b257..5d28c4a 100644
+--- a/test/dealII.c
 b/test/dealII.c
+@@ -3,10 +3,13 @@ for (scat_0=0;scat_0=min(T_66,T_2-1);scat_0++) {
+   S1(scat_0);
+   S2(scat_0);
+ }
+-if ((T_2 == 0)  (T_67 == 0)) {
++if ((T_2 == 0)  (T_66 = 0)  (T_67 == 0)) {
+   S1(0);
+ }
+-for (scat_0=max(0,T_66+1);scat_0=T_2-1;scat_0++) {
++if ((T_66 = -1)  (T_67 == 0)) {
++  S1(0);
++}
++for (scat_0=max(max(0,T_66+1),-T_67+1);scat_0=T_2-1;scat_0++) {
+   S1(scat_0);
+ }
+ for (scat_0=T_2;scat_0=min(T_66,T_67-1);scat_0++) {
+diff --git a/test/isl/jacobi-shared.c b/test/isl/jacobi-shared.c
+index e8e5ec7..9e345c6 100644
+--- a/test/isl/jacobi-shared.c
 b/test/isl/jacobi-shared.c
+@@ -1,6 +1,6 @@
+ /* Generated from ../../../git/cloog/test/isl/jacobi-shared.cloog by CLooG 
0.16.3-2-g5511bef gmp bits in 1.82s. */
+ if ((h0+1)%2 == 0) {
+-  if ((16*floord(t0-1,16) = -N+g1+t0+1)  (16*floord(N+15*g1+15*t0+15,16) 
= 15*g1+15*t0+19)  (32*floord(t1-1,32) = g2+t1-3)  (32*floord(t1-1,32) = 
-N+g2+t1+1)) {
++  if ((16*floord(N+15*g1+15*t0+15,16) = 15*g1+15*t0+19)  
(16*floord(N+15*g1+15*t0+15,16) = 16*g1+15*t0+17)  (32*floord(t1-1,32) = 
g2+t1-3)  (32*floord(t1-1,32) = -N+g2+t1+1)) { 
+ for 
(c0=max(-16*floord(t0-1,16)+t0,-16*floord(g1+t0-3,16)+t0);c0=min(32,N-g1-1);c0+=16)
 {
+   c1 = 32*floord(-t1,32)+t1+32;
+   if (c1 = 32) {
+diff --git a/test/vasilache.c b/test/vasilache.c
+index 5a00a33..fdff031 100644
+--- a/test/vasilache.c
 b/test/vasilache.c
+@@ -15,12 +15,12 @@ for (p1=0;p1=N-1;p1++) {
+ S6(p1,p3,p5,p7-1);
+ S7(p1,p3,p5,p7);
+   }
+-  if (p5 = floord(N-33,32)) {
+-S6(p1,p3,p5,32*p5+31);
+-  }
+-  if (p5 = ceild(N-32,32)) {
++  if (p5 = ceild(N-31,32)) {
+ S6(p1,p3,p5,N-1);
+   }
++  if (p5 = floord(N-32,32)) {
++

[arch-commits] Commit in cloog/trunk (PKGBUILD)

2012-12-25 Thread Allan McRae
Date: Tuesday, December 25, 2012 @ 18:59:28
  Author: allan
Revision: 173858

upstream update

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |9 -
 1 file changed, 4 insertions(+), 5 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2012-12-25 03:36:41 UTC (rev 173857)
+++ PKGBUILD2012-12-25 23:59:28 UTC (rev 173858)
@@ -2,21 +2,20 @@
 # Maintainer: Allan McRae al...@archlinux.org
 
 pkgname=cloog
-pkgver=0.17.0
-pkgrel=2
+pkgver=0.18.0
+pkgrel=1
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;
 license=('GPL')
 depends=('isl' 'gmp')
-conflicts=('cloog-ppl0.15.10-2')
 options=('!libtool')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('0aa3302c81f65ca62c114e5264f8a802')
+md5sums=('be78a47bd82523250eb3e91646db5b3d')
 
 build() {
   cd $srcdir/$pkgname-$pkgver
-  ./configure --prefix=/usr --with-isl=system --with-gmp=system
+  ./configure --prefix=/usr --with-isl=system
   make
 }
 



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2012-06-14 Thread Allan McRae
Date: Friday, June 15, 2012 @ 00:18:32
  Author: allan
Revision: 161821

upgpkg: cloog 0.17.0-2

isl rebuild

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |2 +-
 1 file changed, 1 insertion(+), 1 deletion(-)

Modified: PKGBUILD
===
--- PKGBUILD2012-06-15 04:14:28 UTC (rev 161820)
+++ PKGBUILD2012-06-15 04:18:32 UTC (rev 161821)
@@ -3,7 +3,7 @@
 
 pkgname=cloog
 pkgver=0.17.0
-pkgrel=1
+pkgrel=2
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2012-02-03 Thread Allan McRae
Date: Friday, February 3, 2012 @ 05:54:15
  Author: allan
Revision: 148527

upgpkg: cloog 0.17.0-1

upstream update

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |2 +-
 1 file changed, 1 insertion(+), 1 deletion(-)

Modified: PKGBUILD
===
--- PKGBUILD2012-02-03 10:53:45 UTC (rev 148526)
+++ PKGBUILD2012-02-03 10:54:15 UTC (rev 148527)
@@ -3,7 +3,7 @@
 
 pkgname=cloog
 pkgver=0.17.0
-pkgrel=2
+pkgrel=1
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2011-12-14 Thread Allan McRae
Date: Thursday, December 15, 2011 @ 01:35:24
  Author: allan
Revision: 145022

upgpkg: cloog 0.17.0-2

rebuild from updated tarball...

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |4 ++--
 1 file changed, 2 insertions(+), 2 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2011-12-15 06:21:49 UTC (rev 145021)
+++ PKGBUILD2011-12-15 06:35:24 UTC (rev 145022)
@@ -3,7 +3,7 @@
 
 pkgname=cloog
 pkgver=0.17.0
-pkgrel=1
+pkgrel=2
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;
@@ -12,7 +12,7 @@
 conflicts=('cloog-ppl0.15.10-2')
 options=('!libtool')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('8562effdf567ea94b008510bd83b6ea9')
+md5sums=('0aa3302c81f65ca62c114e5264f8a802')
 
 build() {
   cd $srcdir/$pkgname-$pkgver



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2011-12-12 Thread Allan McRae
Date: Monday, December 12, 2011 @ 18:17:51
  Author: allan
Revision: 144976

upgpkg: cloog 0.17.0-1

upstream update, soname bump, isl rebuild

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |4 ++--
 1 file changed, 2 insertions(+), 2 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2011-12-12 23:17:00 UTC (rev 144975)
+++ PKGBUILD2011-12-12 23:17:51 UTC (rev 144976)
@@ -2,7 +2,7 @@
 # Maintainer: Allan McRae al...@archlinux.org
 
 pkgname=cloog
-pkgver=0.16.3
+pkgver=0.17.0
 pkgrel=1
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
@@ -12,7 +12,7 @@
 conflicts=('cloog-ppl0.15.10-2')
 options=('!libtool')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('a0f8a241cd1c4f103f8d2c91642b3498')
+md5sums=('8562effdf567ea94b008510bd83b6ea9')
 
 build() {
   cd $srcdir/$pkgname-$pkgver



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2011-08-20 Thread Allan McRae
Date: Saturday, August 20, 2011 @ 22:48:03
  Author: allan
Revision: 135958

upgpkg: cloog 0.16.3-1

upstream update, isl rebuild, soname bump

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |6 +++---
 1 file changed, 3 insertions(+), 3 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2011-08-21 02:44:32 UTC (rev 135957)
+++ PKGBUILD2011-08-21 02:48:03 UTC (rev 135958)
@@ -2,8 +2,8 @@
 # Maintainer: Allan McRae al...@archlinux.org
 
 pkgname=cloog
-pkgver=0.16.2
-pkgrel=2
+pkgver=0.16.3
+pkgrel=1
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;
@@ -12,7 +12,7 @@
 conflicts=('cloog-ppl0.15.10-2')
 options=('!libtool')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('83877caaa879c7160063138bb18348e7')
+md5sums=('a0f8a241cd1c4f103f8d2c91642b3498')
 
 build() {
   cd $srcdir/$pkgname-$pkgver



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2011-08-14 Thread Allan McRae
Date: Sunday, August 14, 2011 @ 05:40:29
  Author: allan
Revision: 135493

upgpkg: cloog 0.16.2-2

complete toolchain with dependencies rebuild with new CFLAGS/LDFLAGS

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |2 +-
 1 file changed, 1 insertion(+), 1 deletion(-)

Modified: PKGBUILD
===
--- PKGBUILD2011-08-14 09:39:59 UTC (rev 135492)
+++ PKGBUILD2011-08-14 09:40:29 UTC (rev 135493)
@@ -3,7 +3,7 @@
 
 pkgname=cloog
 pkgver=0.16.2
-pkgrel=1
+pkgrel=2
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
 url=http://www.bastoul.net/cloog/;



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2011-04-10 Thread Allan McRae
Date: Sunday, April 10, 2011 @ 17:37:04
  Author: allan
Revision: 119094

upgpkg: cloog 0.16.2-1
upstream update, rebuild for isl soname bump

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |8 ++--
 1 file changed, 6 insertions(+), 2 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2011-04-10 21:35:58 UTC (rev 119093)
+++ PKGBUILD2011-04-10 21:37:04 UTC (rev 119094)
@@ -2,7 +2,7 @@
 # Maintainer: Allan McRae al...@archlinux.org
 
 pkgname=cloog
-pkgver=0.16.1
+pkgver=0.16.2
 pkgrel=1
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
@@ -12,12 +12,16 @@
 conflicts=('cloog-ppl0.15.10-2')
 options=('!libtool')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('947123350d1ff6dcb4b0774947ac015a')
+md5sums=('83877caaa879c7160063138bb18348e7')
 
 build() {
   cd $srcdir/$pkgname-$pkgver
   ./configure --prefix=/usr --with-isl=system --with-gmp=system
   make
+}
+
+check() {
+  cd $srcdir/$pkgname-$pkgver
   make check
 }
 



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2011-01-19 Thread Allan McRae
Date: Wednesday, January 19, 2011 @ 04:43:37
  Author: allan
Revision: 106802

upgpkg: cloog 0.16.1-1
upstream update

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |4 ++--
 1 file changed, 2 insertions(+), 2 deletions(-)

Modified: PKGBUILD
===
--- PKGBUILD2011-01-19 09:43:07 UTC (rev 106801)
+++ PKGBUILD2011-01-19 09:43:37 UTC (rev 106802)
@@ -2,7 +2,7 @@
 # Maintainer: Allan McRae al...@archlinux.org
 
 pkgname=cloog
-pkgver=0.16.0
+pkgver=0.16.1
 pkgrel=1
 pkgdesc=Library that generates loops for scanning polyhedra
 arch=('i686' 'x86_64')
@@ -12,7 +12,7 @@
 conflicts=('cloog-ppl0.15.10-2')
 options=('!libtool')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
-md5sums=('9292e98031b5a47c6f4ab9c12a249c1d')
+md5sums=('947123350d1ff6dcb4b0774947ac015a')
 
 build() {
   cd $srcdir/$pkgname-$pkgver



[arch-commits] Commit in cloog/trunk (PKGBUILD)

2011-01-02 Thread Allan McRae
Date: Sunday, January 2, 2011 @ 08:16:48
  Author: allan
Revision: 104430

upgpkg: cloog 0.16.0-1
move to offical cloog release - dep for future gcc-4.6 release

Modified:
  cloog/trunk/PKGBUILD

--+
 PKGBUILD |2 +-
 1 file changed, 1 insertion(+), 1 deletion(-)

Modified: PKGBUILD
===
--- PKGBUILD2011-01-02 13:10:43 UTC (rev 104429)
+++ PKGBUILD2011-01-02 13:16:48 UTC (rev 104430)
@@ -9,7 +9,7 @@
 url=http://www.bastoul.net/cloog/;
 license=('GPL')
 depends=('isl' 'gmp')
-conflicts=('cloog-ppl0.15.10-2')
+conflicts=('cloog-ppl0.15.10-2')
 options=('!libtool')
 source=(http://www.bastoul.net/cloog/pages/download/$pkgname-$pkgver.tar.gz)
 md5sums=('9292e98031b5a47c6f4ab9c12a249c1d')