Bug#880942: ITP: ghdl -- VHDL 2008/93/87 simulator

2018-02-13 Thread Abou Al Montacir
Hi Andreas,


I'm also interested in this package and can help in packaging.

Let's have a plan to ensure this is done ASAP.
-- 
Cheers,
Abou Al Montacir


signature.asc
Description: This is a digitally signed message part


Bug#880942: ITP: ghdl -- VHDL 2008/93/87 simulator

2017-11-25 Thread أحمد المحمودي
On Fri, Nov 10, 2017 at 02:35:54AM +0100, Andreas Bombe wrote:
> I haven't contacted the previous maintainer because he orphaned the
> package long before it was removed (citing changed interests) and
> because he is hardly active in Debian anymore.
---end quoted text---

Previous maintainer, Wesley J. Landaker has retired from Debian a few 
years ago indeed.

-- 
‎أحمد المحمودي (Ahmed El-Mahmoudy)
 Digital design engineer
GPG KeyIDs: 4096R/A7EF5671 2048R/EDDDA1B7
GPG Fingerprints:
 6E2E E4BB 72E2 F417 D066  6ABF 7B30 B496 A7EF 5761
 8206 A196 2084 7E6D 0DF8  B176 BC19 6A94 EDDD A1B7


signature.asc
Description: PGP signature


Bug#880942: ITP: ghdl -- VHDL 2008/93/87 simulator

2017-11-09 Thread Paul Wise
On Fri, 2017-11-10 at 02:35 +0100, Andreas Bombe wrote:

> Is there anything else I forgot to address?

A couple of copy-pastes from DevRef that may be relevant:

The version control system used by the previous maintainer might
contain useful changes, so it might be a good idea to have a look
there. Check if the control file of the previous package contained
any headers linking to the version control system for the package
and if it still exists.

I also think it is a good idea to continue the VCS and debian/changelog
from where the last maintainer left it, for continuity.

https://anonscm.debian.org/git/collab-maint/ghdl.git

Package removals from unstable (not testing, stable or oldstable)
trigger the closing of all bugs related to the package. You should
look through all the closed bugs (including archived bugs) and
unarchive and reopen any that were closed in a version ending in +rm
and still apply. Any that no longer apply should be marked as fixed
in the correct version if that is known. 

-- 
bye,
pabs

https://wiki.debian.org/PaulWise


signature.asc
Description: This is a digitally signed message part


Bug#880942: ITP: ghdl -- VHDL 2008/93/87 simulator

2017-11-09 Thread Andreas Bombe
On Thu, Nov 09, 2017 at 02:45:37PM +0800, Paul Wise wrote:
> On Mon, Nov 6, 2017 at 7:51 AM, Andreas Bombe wrote:
> 
> > Back then we all agreed that writing free replacements was the way to go
> > but I never got around to help out with that. Turns out upstream has
> > implemented that in the meantime (not yet for VHDL 2008 though) so I
> > guess now is the time to really bring it back.
> 
> Please note the extra steps required when reintroducing packages:
> 
> https://www.debian.org/doc/manuals/developers-reference/pkgs.html#reintroducing-pkgs

I haven't contacted the previous maintainer because he orphaned the
package long before it was removed (citing changed interests) and
because he is hardly active in Debian anymore.

Since upstream now offers LLVM and its own code generator as backends in
addition to GCC and I want to package all of these, packaging
requirements have changed considerably so most of it will be new.

Is there anything else I forgot to address?



Bug#880942: ITP: ghdl -- VHDL 2008/93/87 simulator

2017-11-08 Thread Paul Wise
On Mon, Nov 6, 2017 at 7:51 AM, Andreas Bombe wrote:

> Back then we all agreed that writing free replacements was the way to go
> but I never got around to help out with that. Turns out upstream has
> implemented that in the meantime (not yet for VHDL 2008 though) so I
> guess now is the time to really bring it back.

Please note the extra steps required when reintroducing packages:

https://www.debian.org/doc/manuals/developers-reference/pkgs.html#reintroducing-pkgs

-- 
bye,
pabs

https://wiki.debian.org/PaulWise



Bug#880942: ITP: ghdl -- VHDL 2008/93/87 simulator

2017-11-05 Thread Andreas Bombe
Package: wnpp
Severity: wishlist
Owner: Andreas Bombe 

* Package name: ghdl
  Version : 0.35-dev
  Upstream Author : Tristan Gingold
* URL : https://github.com/tgingold/ghdl
* License : GPL-2+
  Programming Lang: Ada, VHDL
  Description : VHDL 2008/93/87 simulator

 GHDL is a simulator for hardware designs written in VHDL. It is not an
 interpreter, it generates machine code from your design for high speed
 simulation. GHDL fully supports IEEE 1076-1987, IEEE 1076-1993, IEEE
 1076-2002 and partially the IEEE 1076-2008 version of VHDL.


This package has been in Debian previously and stagnated due to slow
upstream activity at the time (last maintainer upload 2010, orphaned
2012) and was finally removed from the archive last year. I was briefly
involved in an attempt to revive the package in Debian but I considered
the non-free license of the IEEE sources for the essential standard
library definitions problematic (even though it has been in Debian in
that state for over a decade).

Back then we all agreed that writing free replacements was the way to go
but I never got around to help out with that. Turns out upstream has
implemented that in the meantime (not yet for VHDL 2008 though) so I
guess now is the time to really bring it back.

I am not yet a member of the Debian Electronics Team, but I think this
package should fit in there (like the Verilog simulator iverilog).