Re: gEDA-user: PCB Tutorial

2007-05-08 Thread Ales Hvezda
Hi Patrick,

[snip]
I've completed my first pass at converting Bill Wilson's tutorial from
HTML syntax to dokuwiki syntax.  It may be viewed at
http://www.geda.seul.org/wiki/playground:playground.  I wrote a perl

Awesome!

[snip]  
Please don't edit the playground and expect it to have any lasting
effect -- that's why it's called a playground.  If I don't hear from
anybody in the next couple of days, (or if I do, and I can make the
requested changes), I'll go ahead upload it to the main portion of the
wiki, where it can be maintained by the community at large.

I look forward to seeing it in place.  I think the right place
for this document is in the official document page and it should
probably be made a toplevel item (like gschem - Schematic Capture;
called maybe Tutorials) and put on the very top (first item after
For document authors) so that people see it immediately.  Actually,
we should probably move the For document authors section to the very
bottom since that is for document developers and not for the general user.



Hopefully by then Ales will give me permission to upload images.


Done.  Please let me know if you have any problems.

-Ales



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Install Log

2007-05-08 Thread Richard Balogh
Dobry den, 

tento mail vam poslal pocitac, pretoze ste sa pokusili poslat
mi prilis velku prilohu. Zial, take velke prilohy sa do mojej 
schranky nezmestia. Poslite, prosim, mail znova bez prilohy a
prilohu ulozte na verejnom ulozisku, napriklad
http://www.yousendit.com/
alebo http://www.uschovna.cz alebo http://depo.bluetone.cz
ak neviete po anglicky. 

Dakujem, 
  Richard Balogh



This is autoreply text. 
Sorry, I don't accept huge Attachments. Please, use public
storage system at the http://www.yousendit.com/ instead.

Sincerely, 
Richard Balogh


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Install Log

2007-05-08 Thread Stuart Brorson

Thanks for the report.  Here are the two failures I found:

Ngspice:
---
   /home/ed/gEDA/geda-sources/ngspice/ng-spice-rework-17
./configure --prefix=/home/ed/gEDA/geda-install --enable-xspice
--with-readline=yes

[ snip!  ]

checking for main in -lXaw... no
configure: error: Couldn't find Xaw library

This one is very strange!  AFAIK, the Xaw library is a base library
used for X windows.  Why is this missing from Debian?   I don't know
what to tell you..


Icarus Verilog:
-
[  snip!  .]
make install
for dir in vvp vpi tgt-stub tgt-null tgt-vvp libveriuser cadpli; do (cd
$dir ; make all); done
make[1]: Entering directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vvp'
make[1]: Nothing to be done for `all'.
make[1]: Leaving directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vvp'
make[1]: Entering directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vpi'
gcc -DHAVE_CVS_IDENT=1 -I. -I./.. -I. -I.. -D_LARGEFILE_SOURCE=1
-D_FILE_OFFSET_BITS=64  -DHAVE_CONFIG_H -fPIC -Wall -g -O2 -MD -c sys_lxt.c
-o sys_lxt.o
In file included from sys_lxt.c:24:
lxt_write.h:33:19: bzlib.h: No such file or directory
sys_lxt.c: In function `install_dumpvars_callback':
sys_lxt.c:296: warning: long unsigned int format, different type arg (arg
3)
make[1]: *** [sys_lxt.o] Error 1
make[1]: Leaving directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vpi'

You system is lacking the bzlib (a library used for compression).  I
suggest Googling around to see if you can find it and install it.

I don't know if the error in sys_lxt is caused by the missing bzlib or
somethign else.

Maybe I need to put a dependency check into the installer for bzlib?
I haven't seen this dependency missing before?  Did Debian remove this
lib?   Or did Steve put a new dependency into Icarus?

Stuart






___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: Install Log

2007-05-08 Thread Ed Angie S.

Stuart,

Thanks for the quick response.

I checked my system and libxaw7 is installed.  There is a package called 
libxaw7-dev that is not installed; could this be the problem?


I don't know whether Debian removed bzlib recently or not but I can get it 
installed I think.


Once these dependency issues are solved, how should I go about reinstalling 
ngspice and icarus verilog?  Can I simply rerun the installer and it will 
figure out which is already installed correctly?  ngspice doesn't seem to 
have any files under bin.  There are couple iverilog files under bin so it 
seems it was partially installed.  Should I delete the verilog binaries?


Ed

- Original Message - 
From: Stuart Brorson [EMAIL PROTECTED]

To: gEDA user mailing list geda-user@moria.seul.org
Sent: Tuesday, May 08, 2007 9:01 AM
Subject: Re: gEDA-user: Install Log



Thanks for the report.  Here are the two failures I found:

Ngspice:
---
   /home/ed/gEDA/geda-sources/ngspice/ng-spice-rework-17
./configure --prefix=/home/ed/gEDA/geda-install --enable-xspice
--with-readline=yes

[ snip!  ]

checking for main in -lXaw... no
configure: error: Couldn't find Xaw library

This one is very strange!  AFAIK, the Xaw library is a base library
used for X windows.  Why is this missing from Debian?   I don't know
what to tell you..


Icarus Verilog:
-
[  snip!  .]
make install
for dir in vvp vpi tgt-stub tgt-null tgt-vvp libveriuser cadpli; do (cd
$dir ; make all); done
make[1]: Entering directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vvp'
make[1]: Nothing to be done for `all'.
make[1]: Leaving directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vvp'
make[1]: Entering directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vpi'
gcc -DHAVE_CVS_IDENT=1 -I. -I./.. -I. -I.. -D_LARGEFILE_SOURCE=1
-D_FILE_OFFSET_BITS=64  -DHAVE_CONFIG_H -fPIC -Wall -g -O2 -MD -c 
sys_lxt.c

-o sys_lxt.o
In file included from sys_lxt.c:24:
lxt_write.h:33:19: bzlib.h: No such file or directory
sys_lxt.c: In function `install_dumpvars_callback':
sys_lxt.c:296: warning: long unsigned int format, different type arg (arg
3)
make[1]: *** [sys_lxt.o] Error 1
make[1]: Leaving directory
`/home/ed/gEDA/geda-sources/icarus/verilog-20061210/vpi'

You system is lacking the bzlib (a library used for compression).  I
suggest Googling around to see if you can find it and install it.

I don't know if the error in sys_lxt is caused by the missing bzlib or
somethign else.

Maybe I need to put a dependency check into the installer for bzlib?
I haven't seen this dependency missing before?  Did Debian remove this
lib?   Or did Steve put a new dependency into Icarus?

Stuart






___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user






___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: New Tool for Making pcb footprints - new demo

2007-05-08 Thread Steve Morss
I just added a file footprints.inc to the 
www.catalinacomputing.com/gEDA/demos directory.  It contains some 
pcblander macros for making BGAs, TQFPs, SOICs, DIPs, SIPs, test pins 
and surface mount 2 padded devices.  There's a file called 
footprints.pdf with a sample output, so you can see what the macros 
can do (it's a big footprint with a bunch of footprints laid out 
together).  There's a file footprints.lander that generates the layout 
in footprints.pdf.  Type pcblander footprints.lander and you'll get a 
pcb file called footprints.


With the new macros, you can generate pcb common footprints with only a 
few lines of text.  Still, they are flexible enough to handle most 
common footprints, and you can add and tweak them by modifying 
footprints.lander or your footprint scripts.


Steve




Steve Morss wrote:
Recently, I built a board using lots of custom surface mount parts, as 
well as a number of unusual through hole parts.  When it came time to 
generate the footprints, I needed to design a number of new 
footprints.  I looked into the tools available, and found some 
graphical tools and specialized scripting tools, but they either were 
hard to use, or I couldn't get them to build some of my footprints 
(sorry in advance, if I misrepresented someone's tool).  To solve my 
problems, I came up with pcblander, which has been very effective 
for me.  It uses human readable scripts as input.  The scripts are 
pretty easy to follow, as they are mostly assignment statements and a 
few function calls.  For those of you who use Darrell Harmon's 
footgen program, it has a number of similarities to that - I got 
myself started on pcblander by learning how footgen works.  pcblander 
is quite flexible and extensible - if there's something missing, I 
should be able to add it in quickly (or if you prefer, you can do it 
by writing macros or, if you want complete flexibility, functions in 
perl).


If you are interested, I've got a release at 
www.catalinacomputing.com/gEDA containing the program and source code, 
a readme, and some footprint examples.


Steve




___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user





___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: Re: My current project

2007-05-08 Thread Levente

Thank you very much for both of your reply.

 
 - Add an octet following FLAG to contain the protocol version  
 number.

A good idea. I was thinking about that, but I wanted to have as less overhead
as I could.

 - If you add a two-octet field to contain the length of the data  
 field, you will likely find that it eases the design of the firmware  
 that parses the packets.

The Address type 3 is for this.

 - If you add a sequence number field somewhere in the header,  
 it could be used to send PDUs that exceed the maximum data field size.

I was thinking about it too. Originally, I wanted something fixed packet size
(64 octet). Inspired by ATM.

 - It might be useful to define a broadcast address that all  
 interfaces will receive, for things like a reset function, etc.

I am thinking about a multicast mechanism that can assign a special address to
interface cards. The same address could be assigned to multiple cards listening
to them.

For Karl,

The CRC covers everything except the FLAG. BTW, the flag word being 0x7e
was taken from ITU-T protocols (ISDN, SS7, etc.).

The fletcher/adler algorithm seems to be a good idea.

Thank you again.

-- 
Levente
http://web.interware.hu/lekovacs



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


Re: gEDA-user: gschem cvs repo issue FC6

2007-05-08 Thread Craig Niederberger

You might want to consider building  installing gschem from the cvs repo.
I found the performance significantly improved in FC6 compared to the latest
rpm snapshot.
Craig

On 5/8/07, Peter Baxendale [EMAIL PROTECTED] wrote:


I've installed from the FC6 repo on my laptop, and it seems to sprinkle
things around in the usual places - executables in /usr/bin, other
bits and pieces in /usr/share/gEDA and /usr/share/pcb etc.

On Mon, 2007-05-07 at 07:04 -0500, Craig Niederberger wrote:
 Your idea about having geda in a different place is a really good
 one--does anyone know where the FC6 repo defaults to putting geda?  I
 had thought /opt/geda *was* a different place :) but probing around my
 system now, I realize I may be wrong!

--
Peter Baxendale [EMAIL PROTECTED]



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user



___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user


gEDA-user: (no subject)

2007-05-08 Thread Geis, Lutz
unsubsribe


___
geda-user mailing list
geda-user@moria.seul.org
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user