CVS: cvs.openbsd.org: src

2023-11-13 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/11/13 12:15:01

Modified files:
sys/arch/amd64/amd64: vmm_machdep.c 

Log message:
include function name in warning printf in vmx_handle_np_fault() and 
svm_handle_np_fault() more clearer output

ok mlarkin@



CVS: cvs.openbsd.org: src

2023-11-10 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/11/10 11:56:21

Modified files:
usr.sbin/btrace: btrace.c ksyms.c 

Log message:
zap some unused includes



CVS: cvs.openbsd.org: src

2023-11-10 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/11/10 09:02:47

Modified files:
usr.bin/fgen   : fgen.l 

Log message:
sync with NetBSD -r1.38:
remove unused NULL pointer that was passed to printf %s.



CVS: cvs.openbsd.org: src

2023-11-09 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/11/09 02:13:32

Modified files:
lib/libc/sys   : futex.2 

Log message:
missing full stop



CVS: cvs.openbsd.org: www

2023-07-24 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:www
Changes by: jas...@cvs.openbsd.org  2023/07/24 03:53:25

Modified files:
images : n1200.jpg 

Log message:
fix rotation



CVS: cvs.openbsd.org: src

2023-07-13 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/13 13:04:50

Modified files:
libexec/ld.so/ldd: ldd.c 

Log message:
- use IS_ELF() to check the ELF magic bytes
- reject non-sensical program header values which would result in a crash
when accessing the 0 bytes sized buffer allocated due to it

ok deraadt@ kettenis@



CVS: cvs.openbsd.org: src

2023-07-12 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/12 13:49:06

Modified files:
libexec/ld.so  : library.c library_mquery.c 

Log message:
validate alignment of ELF program headers



CVS: cvs.openbsd.org: src

2023-07-12 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/12 13:34:14

Modified files:
sys/kern   : exec_elf.c 

Log message:
address incomplete validation of ELF program headers in execve(2) which could 
lead
to a panic in vmcmd_map_readvn() with a malformed binary/interpreter.

original crash found with Melkor, additional validation provided by
guenther@.

ok kettenis@ guenther@ deraadt@



CVS: cvs.openbsd.org: src

2023-07-08 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/08 08:09:43

Modified files:
libexec/ld.so  : dlfcn.c loader.c resolve.c 
libexec/ld.so/aarch64: ldasm.S 

Log message:
turn an ifdef'd _dl_printf call into DL_DEB and various whitespace fixes

sure deraadt@



CVS: cvs.openbsd.org: src

2023-07-08 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/08 05:03:45

Modified files:
libexec/ld.so  : Makefile 

Log message:
zap stray backslash

ok deraadt@



CVS: cvs.openbsd.org: src

2023-07-06 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/06 04:53:11

Modified files:
sys/dev/dt : dt_prov_kprobe.c 

Log message:
if panic() is meant, use panic()

ok mpi@



CVS: cvs.openbsd.org: src

2023-07-06 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/06 00:25:49

Modified files:
lib/libelf : gelf.3 

Log message:
Add missing entry for gelf_update_ehdr in the list of functions that
update an existing header.

ok jmc@



CVS: cvs.openbsd.org: src

2023-07-04 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/04 06:27:44

Modified files:
sys/dev/dt : dt_prov_kprobe.c 

Log message:
consistenly name instruction defines



CVS: cvs.openbsd.org: src

2023-07-04 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/04 06:20:10

Modified files:
sys/dev/dt : dt_prov_kprobe.c 

Log message:
Unbreak kprobes. Since LLVM emits endbr64 instructions for IBT, dt could no
longer identify function boundaries and as such no kprobes were found anymore.
Adjust the parser accordingly.

ok mpi@



CVS: cvs.openbsd.org: src

2023-07-03 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/03 07:51:55

Modified files:
regress/lib/libc/uuid: uuidtest.c 

Log message:
add regress tests for the remainder of the function provided by our uuid.h



CVS: cvs.openbsd.org: src

2023-07-03 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/03 07:44:45

Modified files:
regress/usr.bin/pkg-config: Makefile 

Log message:
add a few tests related to --cflags and --libs in combination with 
Requires.private.

skipping one (currently failing) test which exhibits the behaviour described
by h...@ljabl.com on bugs@



CVS: cvs.openbsd.org: src

2023-07-03 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/03 02:53:27

Modified files:
lib/libc/uuid  : uuid_compare.3 

Log message:
fix uuid_dec_{b,l}e prototypes

ok jmc@



CVS: cvs.openbsd.org: src

2023-07-03 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2023/07/03 02:32:20

Modified files:
usr.sbin/vmd   : vmd.c 

Log message:
when shutting down a vm, handle the VM id in the same way as a VM name argument

ok dv@



CVS: cvs.openbsd.org: src

2022-08-21 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2022/08/21 06:52:10

Modified files:
sys/dev/ofw: fdt.c 

Log message:
prevent buffer overflow in OF_getpropint64array()
just like -r1.28 did for OF_getpropintarray()

ok kettenis@



CVS: cvs.openbsd.org: www

2021-11-03 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:www
Changes by: jas...@cvs.openbsd.org  2021/11/03 13:19:47

Modified files:
.  : security.html 

Log message:
replace another reference to bugtraq by a link to marc.info



CVS: cvs.openbsd.org: src

2021-10-28 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/10/28 02:47:40

Modified files:
sys/dev/dt : dt_prov_kprobe.c 

Log message:
add proper declaration for addr in dt_prov_kprobe_hook



CVS: cvs.openbsd.org: src

2021-10-27 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/10/27 15:21:36

Modified files:
sys/ddb: db_ctf.c 

Log message:
extend checks of ensuring there's valid CTF data before attempting to use it.



CVS: cvs.openbsd.org: src

2021-10-27 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/10/27 09:18:12

Modified files:
sys/dev/dt : dt_prov_kprobe.c 

Log message:
use db_get_probe_addr() instead of handrolling the expression wrapped in ifdef

ok mpi@



CVS: cvs.openbsd.org: src

2021-10-27 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/10/27 03:09:55

Modified files:
sys/dev/usb: udl.c 
sys/dev/dt : dtvar.h 

Log message:
fix spello in comment



CVS: cvs.openbsd.org: src

2021-10-25 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/10/25 11:15:29

Modified files:
sys/dev/dt : dt_prov_kprobe.c dtvar.h 

Log message:
remove dtp_mtx which protected dtp_ref; the code is always called with 
KERNEL_LOCK() held

discussed with and OK mpi@



CVS: cvs.openbsd.org: src

2021-09-09 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/09 14:08:15

Modified files:
regress/usr.sbin/btrace: Makefile 
Added files:
regress/usr.sbin/btrace: staticv-empty.bt staticv-empty.ok 

Log message:
add test for printing empty arguments

ok mpi@



CVS: cvs.openbsd.org: src

2021-09-09 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/09 14:07:49

Modified files:
usr.sbin/btrace: btrace.c 

Log message:
fix crash when passing empty cli arguments as B_AT_NIL wasn't handled as a 
valid argument type

found with afl++
ok mpi@



CVS: cvs.openbsd.org: src

2021-09-09 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/09 13:02:50

Modified files:
regress/usr.sbin/btrace: Makefile 
Added files:
regress/usr.sbin/btrace: histempty.bt histempty.ok 

Log message:
add hist() tests similar to mapempty.bt

ok mpi@



CVS: cvs.openbsd.org: src

2021-09-04 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/04 01:13:14

Modified files:
sys/arch/amd64/amd64: db_trace.c 

Log message:
in backtraces, print as many arguments as the function actually has

since amd64 is compiled with -msave-args we have all arguments available to 
print and
there's no reason to limit this to six.

discussed with kettenis@



CVS: cvs.openbsd.org: src

2021-09-03 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/03 10:45:45

Modified files:
usr.sbin/btrace: btrace.c 
sys/arch/amd64/amd64: vector.S 
sys/arch/i386/i386: locore.s 
sys/conf   : files 
sys/ddb: db_prof.c 
sys/dev/dt : dt_dev.c dt_prov_profile.c dt_prov_static.c 
 dt_prov_syscall.c dtvar.h 
sys/kern   : subr_prof.c 
Added files:
sys/dev/dt : dt_prov_kprobe.c 

Log message:
add kprobes provider for dt

this allows us to dynamically trace function boundaries with btrace by patching
prologues and epilogues with a breakpoint upon which the handler records the 
data,
sends it back to userland for btrace to consume.
currently it's hidden behind DDBPROF, and there is still a lot to cleanup and
improve, but basic scripts that observe return codes from a probed function
work.

from Tom Rollet, with various changes by me
feedback and ok mpi@



CVS: cvs.openbsd.org: src

2021-09-02 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/02 13:41:48

Modified files:
sys/dev/dt : dtvar.h 

Log message:
follow-up on previous commit and rename the _sys union to _args



CVS: cvs.openbsd.org: src

2021-09-02 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/02 11:21:39

Modified files:
sys/dev/dt : dt_prov_static.c dt_prov_syscall.c dtvar.h 
usr.sbin/btrace: btrace.c 

Log message:
rename struct dt_evt fields to make it clear this isn't only used for tracing 
syscalls
and adjust btrace(8) accordingly.
extracted from a larger diff by Tom Rollet.

ok mpi@



CVS: cvs.openbsd.org: src

2021-09-02 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/02 06:32:22

Modified files:
sys/arch/i386/i386: locore.s 

Log message:
trailing whitespace



CVS: cvs.openbsd.org: src

2021-09-02 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/02 01:14:15

Modified files:
regress/bin/csh: Makefile filec.sh 
regress/bin/ksh/edit: emacs.sh vi.sh 
regress/bin/ksh: th 
regress/usr.bin/doas: Makefile 
regress/usr.bin/mail: send.sh 
regress/usr.bin/make: Makefile 
share/man/man5 : bsd.regress.mk.5 

Log message:
regress tests shouldn't set or modify MALLOC_OPTIONS unless they're actually 
very specific behaviour

document this accordingly in the guidelines section of the manpage

ok bluhm@



CVS: cvs.openbsd.org: src

2021-09-01 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/09/01 03:26:32

Modified files:
regress/lib/libc/env: envtest.c 
regress/lib/libc/strchr: strchrtest.c 
regress/lib/libc/strlcat: strlcattest.c 
regress/lib/libc/strlcpy: strlcpytest.c 
regress/lib/libc/strnlen: strnlentest.c 

Log message:
remove manual fiddling with MALLOC_OPTIONS from libc regress tests

these options should be set globally (sysctl) when running regress as opposed 
to having
individual tests set it, barring a few specific exceptions.

ok bluhm@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 03:58:17

Modified files:
regress/lib/libc: Makefile 

Log message:
enter uuid/



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 03:57:27

Added files:
regress/lib/libc/uuid: Makefile uuidtest.c 

Log message:
add initial tests for uuid_from_string, uuid_to_string, uuid_create_nil

prompted by the bug krw@ fixed yesterday in uuid_from_string()



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 02:06:56

Modified files:
sys/dev/pci: if_bgereg.h 

Log message:
remove empty forward structs fro bge_ring_data as found with ctfconv.

tested by and ok jmatthew@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 01:43:23

src/regress/lib/libc/uuid

Update of /cvs/src/regress/lib/libc/uuid
In directory cvs.openbsd.org:/tmp/cvs-serv17790/uuid

Log Message:
Directory /cvs/src/regress/lib/libc/uuid added to the repository



CVS: cvs.openbsd.org: src

2021-08-30 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/30 08:44:39

Modified files:
sys/dev/ic : gdtvar.h gdt_common.c 
sys/net: if_vlan.c 

Log message:
remove a bunch of forward-only structs that were found with ctfconv.

ok mpi@



CVS: cvs.openbsd.org: src

2021-08-30 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/30 02:11:12

Modified files:
sys/arch/alpha/include: db_machdep.h 
sys/arch/amd64/include: db_machdep.h 
sys/arch/arm/include: db_machdep.h 
sys/arch/arm64/include: db_machdep.h 
sys/arch/hppa/include: db_machdep.h 
sys/arch/i386/include: db_machdep.h 
sys/arch/m88k/include: db_machdep.h 
sys/arch/mips64/include: db_machdep.h 
sys/arch/powerpc/include: db_machdep.h 
sys/arch/powerpc64/include: db_machdep.h 
sys/arch/riscv64/include: db_machdep.h 
sys/arch/sh/include: db_machdep.h 
sys/arch/sparc64/include: db_machdep.h 

Log message:
Remove typedef of db_addr_t; mpi converted the users of it to vaddr_t already
back in 2019.

ok mpi@



CVS: cvs.openbsd.org: src

2021-07-11 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/07/11 06:21:52

Modified files:
sys/arch/riscv64/include: db_machdep.h 
sys/arch/riscv64/riscv64: db_interface.c 

Log message:
convert db_addr_t to vaddr_t



CVS: cvs.openbsd.org: src

2021-07-10 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/07/10 12:46:39

Modified files:
sys/arch/riscv64/riscv64: db_interface.c 

Log message:
tweak indentation of conditional in db_validate_address().
this also matches arm64 now/again.



CVS: cvs.openbsd.org: src

2021-07-09 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/07/09 14:59:51

Modified files:
sys/arch/riscv64/riscv64: db_trace.c 
sys/arch/arm64/arm64: db_trace.c 

Log message:
use vaddr_t as type for frames as is commonly used elsewhere too

ok kettenis@



CVS: cvs.openbsd.org: src

2021-07-09 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/07/09 14:59:17

Modified files:
sys/ddb: db_sym.c 

Log message:
fix scentence in db_printsym comment



CVS: cvs.openbsd.org: src

2021-07-06 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/07/06 12:01:34

Modified files:
distrib/notes/riscv64: prep 

Log message:
fix an m4 macro which showed up unexpanded. kill some trailing whitespace while 
here



CVS: cvs.openbsd.org: src

2021-01-09 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/01/09 05:49:09

Modified files:
usr.bin/systat : engine.c 

Log message:
kill trailing whitespace



CVS: cvs.openbsd.org: src

2020-10-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/10/31 08:08:43

Modified files:
sys/net: wg_noise.c 

Log message:
release the correct lock in noise_remote_begin_session()

fixes a "noise_keypair: lock not held" panic observed by Caspar Sc
hutijser
from Jason A. Donenfeld



CVS: cvs.openbsd.org: src

2020-09-18 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/18 13:19:38

Modified files:
usr.sbin/btrace: map.c printf.c 

Log message:
fix typo in comment and trailing whitespace



CVS: cvs.openbsd.org: src

2020-09-17 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/17 13:18:19

Modified files:
usr.sbin/btrace: bt.5 

Log message:
document min/max/sum function

ok mpi@



CVS: cvs.openbsd.org: src

2020-09-15 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/15 04:59:05

Modified files:
usr.sbin/btrace: bt.5 

Log message:
consistently format/word the function documentation



CVS: cvs.openbsd.org: src

2020-09-15 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/15 04:03:09

Modified files:
share/man/man5 : port-modules.5 

Log message:
add more verbiage to the font description



CVS: cvs.openbsd.org: src

2020-09-15 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/15 01:19:31

Modified files:
regress/usr.bin/pkg-config: Makefile 
Added files:
regress/usr.bin/pkg-config/pcdir: cflags-1.pc cflags-2.pc 

Log message:
add tests for PKG_CONFIG_SYSTEM_INCLUDE_PATH



CVS: cvs.openbsd.org: src

2020-09-15 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/15 01:18:45

Modified files:
usr.bin/pkg-config: pkg-config pkg-config.1 

Log message:
bump version to 0.29.2, of the changes upstream made between 0.29.1 and 0.29.2
there's effectively only one we didn't have yet which was handling for $C_PATH.
turned out we also didn't use a bunch of others so add them too.

bump copyright and remove $CSK$ marker while here

tested in a ports build by aja@



CVS: cvs.openbsd.org: src

2020-09-14 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/14 13:02:09

Modified files:
sys/dev/dt : dt_prov_static.c 
sys/kern   : vfs_bio.c 

Log message:
add three static probes for vfs: cleaner, bufcache_take and bufcache_rel.

while here, swap two lines in bufcache_release() to put a KASSERT() first
following the pattern in bufcache_take()

ok beck@ mpi@



CVS: cvs.openbsd.org: src

2020-09-14 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/14 12:45:19

Modified files:
usr.sbin/btrace: bt_parse.y bt_parser.h btrace.c 
regress/usr.sbin/btrace: Makefile 
Added files:
regress/usr.sbin/btrace: boolean.bt boolean.ok 

Log message:
add support for '&' and '|' operators in btrace scripts

feedback from otto@
ok mpi@ kn@ semarie@



CVS: cvs.openbsd.org: src

2020-09-13 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/13 08:55:08

Modified files:
sys/dev/dt : dt_prov_static.c 

Log message:
unbreak dt-enabled builds; it seems an unrelated change snuck in in -r1.3

also noticed by semarie@



CVS: cvs.openbsd.org: src

2020-09-12 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/09/12 06:53:41

Modified files:
usr.bin/pkg-config: pkg-config 

Log message:
trailing whitespace



CVS: cvs.openbsd.org: src

2020-06-22 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/06/22 06:20:44

Modified files:
sys/net: if_wg.c if_wg.h 

Log message:
add missing rcs id



CVS: cvs.openbsd.org: src

2020-03-16 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/03/16 10:12:43

Modified files:
sys/dev/usb: umidi.c 

Log message:
Prevent a NULL derefence in alloc_all_endpoints_fixed_ep()
when no IO endpoints were found. Coverity CID 1453263, 1453156

Check return value of usbd_interface2endpoint_descriptor() in
alloc_all_endpoints_yamaha() as it may return NULL which ends up being
dereferenced by the UE_GET_XFERTYPE() macro. Coverity CID 271356

ok ratchov@



CVS: cvs.openbsd.org: src

2020-03-16 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/03/16 02:51:48

Modified files:
sys/dev/acpi   : acpitoshiba.c 

Log message:
fix a bunch of weird indentations and extra whitespace; no binary change



CVS: cvs.openbsd.org: src

2020-03-16 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/03/16 02:21:16

Modified files:
sys/arch/amd64/amd64: vmm.c 

Log message:
Make the check for vmm_softc->mode exhaustive and return an error
when not running on a supported mode. This matches code elsewhere
in the file and prevents using the uninitialized vrs later in
the function.

Coverity CID 148078

as discussed with mike larkin



CVS: cvs.openbsd.org: src

2020-03-11 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/03/11 07:04:02

Modified files:
sys/dev/usb: uplcom.c 

Log message:
Remove dead code which is actually duplicated a few lines above
right after err is set.

Coverity CID 975917

ok kettenis@ kn@



CVS: cvs.openbsd.org: src

2020-03-11 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/03/11 06:47:49

Modified files:
usr.sbin/vmctl : vmctl.c 

Log message:
fix spelling of IMSG_VMDOP_TERMINATE_VM_RESPONSE in comment

from Matt Schultz



CVS: cvs.openbsd.org: src

2020-02-24 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/24 06:12:14

Modified files:
usr.sbin/tcpdump: print-usbpcap.c 

Log message:
extend decoding of control transfers:
- print the stage name
- print additional information about the request for Setup packets
- GET_DESCRIPTOR requests are further decoded

tweaks and ok mpi@



CVS: cvs.openbsd.org: src

2020-02-23 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/23 04:52:45

Modified files:
sys/dev/usb: usbdevs.h usbdevs_data.h 

Log message:
regen



CVS: cvs.openbsd.org: src

2020-02-23 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/23 04:52:10

Modified files:
sys/dev/usb: usbdevs 

Log message:
- add an AMD hub found on APU2
- add Synaptics vendor id and two fingerprint readers

feedback and ok jsg@



CVS: cvs.openbsd.org: src

2020-02-22 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/22 07:05:09

Modified files:
usr.sbin/tcpdump: print-usbpcap.c 

Log message:
fix a crash when printing the contents of a malformed packet where the packet
length was smaller than the sizeof the usbpcap header. we'd end up passing a
negative value as the length to default_print().

found with afl-fuzz
ok mpi@



CVS: cvs.openbsd.org: src

2020-02-22 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/22 07:01:35

Modified files:
sys/dev/usb: ehci.c if_udav.c if_url.c ohci.c uftdi.c ugen.c 
 uhci.c uhidev.c uhub.c uipaq.c umass.c umodem.c 
 usbdi.c uvisor.c xhci.c 

Log message:
use the UE_GET_XFERTYPE macro where applicable

ok mpi@



CVS: cvs.openbsd.org: src

2020-02-17 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/17 12:33:51

Modified files:
share/man/man4 : ukspan.4 

Log message:
add HISTORY section



CVS: cvs.openbsd.org: src

2020-02-17 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/17 12:29:55

Modified files:
sys/dev/usb: ukspan.c 

Log message:
missing rcs id



CVS: cvs.openbsd.org: src

2020-02-13 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/13 11:19:07

Modified files:
sys/dev/usb: utwitch.c 

Log message:
replace incorrect function name in error message with __func__



CVS: cvs.openbsd.org: src

2020-02-12 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/12 13:07:55

Modified files:
usr.sbin/tcpdump: print-usbpcap.c 

Log message:
- print 'dlen=' to make it more clear what that number indicates
- add missing rcs id
- use 'goto trunc' as is common with other printers

ok mpi@



CVS: cvs.openbsd.org: src

2020-02-08 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/02/08 04:58:39

Modified files:
sys/arch/amd64/amd64: vmm.c 

Log message:
remove erroneous line in comment for vm_get_info()

from mlarkin



CVS: cvs.openbsd.org: src

2020-01-30 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/01/30 05:06:54

Modified files:
share/man/man4 : dt.4 

Log message:
format "SEE ALSO" consistent with other manpages

ok mpi@



CVS: cvs.openbsd.org: src

2020-01-26 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/01/26 04:11:39

Modified files:
share/man/man5 : port-modules.5 

Log message:
- sprinkle some Fn for function names and Pa for paths
- reword MODKDE4_FIX_GETTEXT text to make it easier to read

with and ok schwarze@



CVS: cvs.openbsd.org: src

2020-01-17 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2020/01/17 12:23:59

Modified files:
share/man/man5 : port-modules.5 

Log message:
long overdue description of font.port.mk

reminded by espie@



CVS: cvs.openbsd.org: www

2019-07-20 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:www
Changes by: jas...@cvs.openbsd.org  2019/07/20 05:00:29

Modified files:
faq: current.html 

Log message:
- we don't generally refer to ports directories in current.html
- use  for for maildirmake arguments
- slightly tweak wording for gzdoom entry and mention the version it's been 
updated to in order make it easier to differentiate between versions that are 
comp
atible and incomptabile with old saved games



CVS: cvs.openbsd.org: src

2019-05-27 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/27 13:21:44

Modified files:
usr.sbin/vmd   : mc146818.c 

Log message:
only reschedule the periodic interrupt after updating register A
if something changed in register A.

when updating register A we were checking in register B if the
PIE bit was set in order to decide if rtc_reschedule_per needed
to be called. if that bit was changed then the timer rate would
already have been adjusted by rtc_update_regb so the call from
rtc_update_rega is not needed.

this now matches what qemu and other emulators are doing too.

ok mlarkin@



CVS: cvs.openbsd.org: www

2019-05-23 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:www
Changes by: jas...@cvs.openbsd.org  2019/05/23 13:39:22

Modified files:
.  : want.html 

Log message:
remove request; aaron and i have the hardware we need



CVS: cvs.openbsd.org: src

2019-05-23 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/23 13:00:53

Modified files:
sys/arch/amd64/isa: clock.c 
sys/arch/i386/isa: clock.c 

Log message:
zap reference to non-existent function in a comment

ok mpi@



CVS: cvs.openbsd.org: src

2019-05-22 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/22 10:19:21

Modified files:
usr.sbin/vmctl : vmctl.c 

Log message:
re-order to reported states based on order of significance:
paused takes priority over running; running takes priority over disabled

ok mlarkin@ pd@



CVS: cvs.openbsd.org: src

2019-05-20 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/20 11:04:24

Modified files:
usr.sbin/vmd   : vmd.c 

Log message:
drop fatalx calls when claiming a new vm id; otherwise it's possible
to crash vmd and take all other vms with it. this required a little
shuffling to get the error value reported back to the caller to
handle the error properly.

ok mlarkin@



CVS: cvs.openbsd.org: src

2019-05-16 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/16 00:41:47

Modified files:
usr.sbin/vmctl : vmctl.c 

Log message:
treat vms that are disabled in vm.conf the same as any other vm that's stopped
"disabled" in this context might imply it being broken or otherwise unusable

prompted by and ok mlarkin@



CVS: cvs.openbsd.org: src

2019-05-12 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/12 14:58:19

Modified files:
usr.bin/ftp: Makefile cmd.c file.c ftp.1 ftp.c ftp.h http.c 
 main.c progressmeter.c url.c util.c 

Log message:
add rcs ids



CVS: cvs.openbsd.org: src

2019-05-11 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/11 17:07:46

Modified files:
usr.sbin/vmd   : vmd.h vmd.c 
usr.sbin/vmctl : vmctl.h vmctl.c 

Log message:
report vm state through 'vmctl status'; whereas previously this would display 
the state of
the vcpu (which is why it got removed), it now actually reports the correct 
state
(running, stopped, disabled, paused, etc)

ok ccardenas@ mlarkin@



CVS: cvs.openbsd.org: src

2019-05-11 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/11 13:59:32

Modified files:
usr.sbin/vmd   : vm.c vmd.c 

Log message:
vm_dump_header allocated space for a signature but it was never set;
set it to VMM_HV_SIGNATURE and check for it upon restoring a vm image

ok mlarkin@ pd@



CVS: cvs.openbsd.org: src

2019-05-11 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/11 13:58:02

Modified files:
usr.sbin/vmd   : vmd.h 

Log message:
add missing comment about VM_STATE_SHUTDOWN; as discussed with ccardenas@



CVS: cvs.openbsd.org: src

2019-05-11 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/11 13:55:15

Modified files:
usr.sbin/vmd   : config.c parse.y vm.c vmd.c vmd.h vmm.c 

Log message:
track the state of the vm (running, paused, etc) using a single bitfield 
instead of
a handful of separate variables. this will makes it easier for vmd to report
and check on the individual vm states

no functional change intended

ok ccardenas@ mlarkin@



CVS: cvs.openbsd.org: src

2019-05-10 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/10 19:05:17

Modified files:
usr.sbin/vmd   : vmd.c 

Log message:
sync the vm state in vmd too when (un)pausing a vm, otherwise the vm process
knows the vm is paused, but vmd does not.

ok mlarkin@ pd@



CVS: cvs.openbsd.org: src

2019-05-10 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/05/10 12:11:27

Modified files:
usr.sbin/vmd   : vmd.h 
usr.sbin/vmctl : vmctl.h 

Log message:
remove receive_vm prototype for the function does not exist (anymore)

ok pd@



CVS: cvs.openbsd.org: src

2019-02-04 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/02/04 13:18:27

Modified files:
regress/usr.bin/pkg-config: Makefile 

Log message:
add tests for PKG_CONFIG_$PACKAGE_$VARIABLE



CVS: cvs.openbsd.org: src

2019-02-04 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/02/04 13:18:11

Modified files:
usr.bin/pkg-config: pkg-config pkg-config.1 

Log message:
add support for defining variables through the environment

this uses the PKG_CONFIG_$PACKAGE_$VARIABLE format as implemented in fd.o 
pkg-config 0.29.1;
bump our version accordingly.



CVS: cvs.openbsd.org: src

2019-02-04 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/02/04 11:53:19

Modified files:
regress/usr.bin/pkg-config: Makefile 

Log message:
add tests for recently added --validate



CVS: cvs.openbsd.org: src

2019-02-04 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2019/02/04 11:51:29

Modified files:
usr.bin/pkg-config: pkg-config pkg-config.1 

Log message:
implement compat with fd.o pkg-config 0.29.0:

- add --validate flag which is like --exists but slightly more verbose and 
doesn't process dependencies
- bump version to 0.29.0

while here, adjust message about missing fields to match the upstream fd.o 
message,
instead of referencing the filename we should print the packagename

tested in a bulk by aja@



CVS: cvs.openbsd.org: src

2018-09-25 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2018/09/25 13:24:17

Modified files:
sys/kern   : kern_unveil.c 

Log message:
fix typo in comment

ok beck@



CVS: cvs.openbsd.org: src

2018-08-19 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2018/08/19 12:03:35

Modified files:
usr.sbin/httpd : httpd.h 

Log message:
double the allowed length for the 'tls ciphers' option

for example now it can hold the recommended cipher list from the mozilla
ssl config generator rather than failing with a "ciphers too long" error.

ok benno@ sthen@ tb@



CVS: cvs.openbsd.org: src

2018-07-24 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2018/07/24 23:11:49

Modified files:
etc/examples   : rad.conf 

Log message:
s/resolver/nameserver/ to match parse.y -r1.4



CVS: cvs.openbsd.org: src

2018-07-24 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2018/07/24 12:15:31

Modified files:
etc: Makefile 
Added files:
etc/examples   : rad.conf 

Log message:
add rad.conf example

ok florian@



CVS: cvs.openbsd.org: src

2018-07-16 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2018/07/16 11:05:15

Modified files:
sbin/sysctl: sysctl.c 

Log message:
use ANSI C function declaration; no binary change



  1   2   3   4   5   6   7   8   9   10   >