Re: [ARTIQ] Instantiating tri-state buffer in migen

2017-10-06 Thread Arpit Agrawal via ARTIQ
Hi Sebastien Thank you for the quick reply. Will try that and let you know. Regards Arpit Agrawal On Fri, Oct 6, 2017 at 9:29 PM, Sébastien Bourdeauducq via ARTIQ < artiq@lists.m-labs.hk> wrote: > On Saturday, October 07, 2017 09:13 AM, Arpit Agrawal via ARTIQ wrote: > >> return

Re: [ARTIQ] Instantiating tri-state buffer in migen

2017-10-06 Thread Sébastien Bourdeauducq via ARTIQ
On Saturday, October 07, 2017 09:13 AM, Arpit Agrawal via ARTIQ wrote: return Instance("IOBUFDS", i_I=self.i, o_O=self.o, i_T=self.oe, OE means "output enable". T means "tristate", i.e. not driving. You need to invert that signal. Sébastien

[ARTIQ] Instantiating tri-state buffer in migen

2017-10-06 Thread Arpit Agrawal via ARTIQ
Hello I've written simple code to instantiate buffer and generate a square wave. The code is working fine for OBUFDS, but for tri-state buffers (OBUFTDS & IOBUFDS), there is nothing on the output. All 3 files are attached. Would you please let me know what could be wrong? Do we need to do