Re: [dpdk-dev] [PATCH 1/2] ring: fix declaration after code

2018-05-28 Thread Gavin Hu
Hi Andy, See my inline comments. -Gavin -Original Message- From: dev On Behalf Of Andy Green Sent: Monday, May 28, 2018 10:29 AM To: dev@dpdk.org Subject: [dpdk-dev] [PATCH 1/2] ring: fix declaration after code On gcc 5.4.0 / native aarch64 from Ubuntu 16.04: /home/agreen/lagopus/src/

Re: [dpdk-dev] [PATCH 1/2] ring: fix declaration after code

2018-05-28 Thread Andy Green
On 05/28/2018 04:15 PM, Gavin Hu wrote: do { +const uint32_t cons_tail = r->cons.tail; + /* Reset n to the initial burst count */ n = max; *old_head = __atomic_load_n(&r->prod.head, __ATOMIC_ACQUIRE); -const uint32_t cons_tail = r->cons.tail; + [Gavin Hu] The ACQUIRE and RELEASE pai

Re: [dpdk-dev] [PATCH 1/2] ring: fix declaration after code

2018-05-28 Thread Gavin Hu
-Original Message- From: Andy Green Sent: Monday, May 28, 2018 4:47 PM To: Gavin Hu ; dev@dpdk.org Subject: Re: [dpdk-dev] [PATCH 1/2] ring: fix declaration after code On 05/28/2018 04:15 PM, Gavin Hu wrote: > do { > +const uint32_t cons_tail = r->cons.tail; > + > /* Reset n to t

[dpdk-dev] [PATCH v2 1/2] ring: fix declaration after code

2018-05-28 Thread Andy Green
On gcc 5.4.0 / native aarch64 from Ubuntu 16.04: /home/agreen/lagopus/src/dpdk/build/include/ rte_ring_c11_mem.h: In function '__rte_ring_move_prod_head': /home/agreen/lagopus/src/dpdk/build/include/ rte_ring_c11_mem.h:69:3: warning: ISO C90 forbids mixed declarations and code [-Wdeclaration-after

[dpdk-dev] [PATCH v2 0/2] dpdk header fixes for aarch64

2018-05-28 Thread Andy Green
On Xenial / 16.04 native aarch64 build with gcc 5.4.0, lagopus build finds a couple more issues hiding in dpdk headers. --- Andy Green (2): ring: fix declaration after code ring: fix sign conversion warning lib/librte_ring/rte_ring.h |2 +- lib/librte_ring/rte_ring_c11_

[dpdk-dev] [PATCH v2 2/2] ring: fix sign conversion warning

2018-05-28 Thread Andy Green
On gcc 5.4.0 / native aarch64 from Ubuntu 16.04: /home/agreen/lagopus/src/dpdk/build/include/rte_ring.h: In function '__rte_ring_do_dequeue': /home/agreen/lagopus/src/dpdk/build/include/rte_ring.h: 385:35: warning: conversion to 'int' from 'unsigned int' may change the sign of the result [-Wsign-

Re: [dpdk-dev] [PATCH] devtools: add script to verify map files

2018-05-28 Thread Bruce Richardson
On Sun, May 27, 2018 at 10:50:58PM +0200, Thomas Monjalon wrote: > Sorry for having missed this patch during so long. > > 13/02/2018 11:48, Ferruh Yigit: > > On 2/12/2018 4:13 PM, Pavan Nikhilesh wrote: > > > This script checks for the symbols specified in the map files against > > > the symbols p

Re: [dpdk-dev] [PATCH] devtools: add script to verify map files

2018-05-28 Thread Thomas Monjalon
28/05/2018 11:21, Bruce Richardson: > On Sun, May 27, 2018 at 10:50:58PM +0200, Thomas Monjalon wrote: > > Sorry for having missed this patch during so long. > > > > 13/02/2018 11:48, Ferruh Yigit: > > > On 2/12/2018 4:13 PM, Pavan Nikhilesh wrote: > > > > This script checks for the symbols specif

Re: [dpdk-dev] [PATCH v2] devtools: add test script for meson builds

2018-05-28 Thread Bruce Richardson
On Sat, May 26, 2018 at 11:32:53AM +0200, Thomas Monjalon wrote: > 25/05/2018 17:18, Bruce Richardson: > > On Fri, May 25, 2018 at 04:51:58PM +0200, Thomas Monjalon wrote: > > > +default_path=$PATH > > > + > > > +# Load config options > > > +. $(dirname $(readlink -e $0))/load-devel-config > > > +

Re: [dpdk-dev] [PATCH v4] devtools: add test script for meson builds

2018-05-28 Thread Bruce Richardson
On Sat, May 26, 2018 at 01:21:42PM +0200, Thomas Monjalon wrote: > From: Bruce Richardson > > To simplify testing with the meson and ninja builds, we can add a script > to set up and do multiple builds. Currently this script sets up: > > * clang and gcc builds > * builds using static and shared

[dpdk-dev] [PATCH] net/mlx5: clean-up developer logs

2018-05-28 Thread Nelio Laranjeiro
Split maintainers logs from user logs. A lot of debug logs are present providing internal information on how the PMD works to users. Such logs should not be available for them and thus should remain available only when the PMD is compiled in debug mode. This commits removes some useless debug lo

[dpdk-dev] [DPDK 18.08] ethdev: add flow API to expand RSS flows

2018-05-28 Thread Nelio Laranjeiro
Introduce an helper for PMD to expand easily flows items list with RSS action into multiple flow items lists with priority information. For instance a user items list being "eth / end" with rss action types "ipv4-udp ipv6-udp end" needs to be expanded into three items lists: - eth - eth / ipv4

Re: [dpdk-dev] [dpdk-stable] 17.11.3 (LTS) patches review and test

2018-05-28 Thread Kevin Traynor
On 05/27/2018 06:35 AM, Yuanhan Liu wrote: > Hi all, > > Here is a list of patches targeted for LTS release 17.11.3. Please > help review and test. The planned date for the final release is 8th, > Jun. Before that, please let me know if anyone has objections with > these patches being applied. >

Re: [dpdk-dev] [PATCH v2] devtools: add test script for meson builds

2018-05-28 Thread Thomas Monjalon
28/05/2018 11:33, Bruce Richardson: > On Sat, May 26, 2018 at 11:32:53AM +0200, Thomas Monjalon wrote: > > 25/05/2018 17:18, Bruce Richardson: > > > On Fri, May 25, 2018 at 04:51:58PM +0200, Thomas Monjalon wrote: > > > > +cd $(dirname $(readlink -m $0))/.. > > > > + > > > I don't think we should f

[dpdk-dev] [DPDK 18.08 v1 00/12] net/mlx5: flow rework

2018-05-28 Thread Nelio Laranjeiro
First version of for the flow engine rework of MLX5 to prepare the introduction for the ENCAP/DECAP and PUSH/POP actions done via TC flower/filter. This first series depends on [1] and is a work in progress, recent work added on Tunnel RSS are still absent as well as the tunnel support. Those wil

[dpdk-dev] [DPDK 18.08 v1 04/12] net/mlx5: add flow queue action

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 83 +--- 1 file changed, 77 insertions(+), 6 deletions(-) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 85dc5edaf..3e16f67d6 100644 --- a/drivers/net/mlx5/mlx5_flow

[dpdk-dev] [DPDK 18.08 v1 03/12] net/mlx5: support flow Ethernet item among with drop action

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 676 --- 1 file changed, 634 insertions(+), 42 deletions(-) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 6497e99c1..85dc5edaf 100644 --- a/drivers/net/mlx5/mlx5_fl

[dpdk-dev] [DPDK 18.08 v1 02/12] net/mlx5: handle drop queues are regular queues

2018-05-28 Thread Nelio Laranjeiro
Drop queues are essentially used in flows due to Verbs API, the information if the fate of the flow is a drop or not is already present in the flow. Due to this, drop queues can be fully mapped on regular queues. Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5.c | 9 -- drivers

[dpdk-dev] [DPDK 18.08 v1 05/12] net/mlx5: add flow stop/start

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 24 1 file changed, 20 insertions(+), 4 deletions(-) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 3e16f67d6..8c6309188 100644 --- a/drivers/net/mlx5/mlx5_flow.c +++ b/dri

[dpdk-dev] [DPDK 18.08 v1 01/12] net/mlx5: remove flow support

2018-05-28 Thread Nelio Laranjeiro
This start a series to re-work the flow engine in mlx5 to easily support flow conversion to Verbs or TC. This is necessary to handle both regular flows and representors flows. As the full file needs to be clean-up to re-write all items/actions processing, this patch starts to disable the regular

[dpdk-dev] [DPDK 18.08 v1 07/12] net/mlx5: add flow IPv4 item

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 78 1 file changed, 78 insertions(+) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index ed27914aa..003c4aadd 100644 --- a/drivers/net/mlx5/mlx5_flow.c +++ b/drivers

[dpdk-dev] [DPDK 18.08 v1 09/12] net/mlx5: add flow UDP item

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 55 1 file changed, 55 insertions(+) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 6f3e50452..66ebe6d36 100644 --- a/drivers/net/mlx5/mlx5_flow.c +++ b/drivers

[dpdk-dev] [DPDK 18.08 v1 10/12] net/mlx5: add flow TCP item

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 55 1 file changed, 55 insertions(+) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 66ebe6d36..ce1b4e94b 100644 --- a/drivers/net/mlx5/mlx5_flow.c +++ b/drivers

[dpdk-dev] [DPDK 18.08 v1 08/12] net/mlx5: add flow IPv6 item

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 103 +++ 1 file changed, 103 insertions(+) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 003c4aadd..6f3e50452 100644 --- a/drivers/net/mlx5/mlx5_flow.c +++ b/driver

[dpdk-dev] [DPDK 18.08 v1 06/12] net/mlx5: add flow VLAN item

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 114 +++ 1 file changed, 114 insertions(+) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 8c6309188..ed27914aa 100644 --- a/drivers/net/mlx5/mlx5_flow.c +++ b/driver

[dpdk-dev] [DPDK 18.08 v1 11/12] net/mlx5: add mark/flag flow action

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 156 +++ 1 file changed, 156 insertions(+) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index ce1b4e94b..4ef0a3fee 100644 --- a/drivers/net/mlx5/mlx5_flow.c +++ b/driver

[dpdk-dev] [DPDK 18.08 v1 12/12] net/mlx5: add RSS flow action

2018-05-28 Thread Nelio Laranjeiro
Signed-off-by: Nelio Laranjeiro --- drivers/net/mlx5/mlx5_flow.c | 681 +-- 1 file changed, 484 insertions(+), 197 deletions(-) diff --git a/drivers/net/mlx5/mlx5_flow.c b/drivers/net/mlx5/mlx5_flow.c index 4ef0a3fee..27354615f 100644 --- a/drivers/net/mlx5/mlx5_f

[dpdk-dev] [PATCH] doc: document known issues for multiprocess

2018-05-28 Thread Anatoly Burakov
Also, reference Bugzilla entry for keeping most current information in one place. Signed-off-by: Anatoly Burakov --- doc/guides/rel_notes/release_18_05.rst | 9 + 1 file changed, 9 insertions(+) diff --git a/doc/guides/rel_notes/release_18_05.rst b/doc/guides/rel_notes/release_18_05.rs

[dpdk-dev] [PATCH] doc: document vhost performance regression

2018-05-28 Thread Maxime Coquelin
Signed-off-by: Maxime Coquelin --- doc/guides/rel_notes/release_18_05.rst | 7 +++ 1 file changed, 7 insertions(+) diff --git a/doc/guides/rel_notes/release_18_05.rst b/doc/guides/rel_notes/release_18_05.rst index e8d74f507..f89ce5658 100644 --- a/doc/guides/rel_notes/release_18_05.rst +++

[dpdk-dev] [PATCH] net/thunderx: add support for hardware first skip feature

2018-05-28 Thread rkudurumalla
This feature is used to create a hole between HEADROOM and actual data.Size of hole is specified in bytes as module param to pmd Signed-off-by: Rakesh Kudurumalla --- doc/guides/nics/thunderx.rst | 26 drivers/net/thunderx/base/nicvf_hw.c | 12 ++ drivers/net/thunderx/ba

Re: [dpdk-dev] [PATCH] devtools: add script to verify map files

2018-05-28 Thread Bruce Richardson
On Mon, May 28, 2018 at 11:31:31AM +0200, Thomas Monjalon wrote: > 28/05/2018 11:21, Bruce Richardson: > > On Sun, May 27, 2018 at 10:50:58PM +0200, Thomas Monjalon wrote: > > > Sorry for having missed this patch during so long. > > > > > > 13/02/2018 11:48, Ferruh Yigit: > > > > On 2/12/2018 4:13

Re: [dpdk-dev] [PATCH v2] devtools: add test script for meson builds

2018-05-28 Thread Bruce Richardson
On Mon, May 28, 2018 at 12:26:24PM +0200, Thomas Monjalon wrote: > 28/05/2018 11:33, Bruce Richardson: > > On Sat, May 26, 2018 at 11:32:53AM +0200, Thomas Monjalon wrote: > > > 25/05/2018 17:18, Bruce Richardson: > > > > On Fri, May 25, 2018 at 04:51:58PM +0200, Thomas Monjalon wrote: > > > > > +c

Re: [dpdk-dev] [PATCH v2 1/2] mk: fix cross build errors

2018-05-28 Thread Bruce Richardson
On Mon, May 28, 2018 at 02:53:47AM -0400, Gavin Hu wrote: > The "-Wimplicit-fallthrough=2" option was introduced into gcc 7.0, it was > enabled when the cross compiler gcc is greater than 7.0, but for the host > side buildtools/pmdinfogen, if the native is older than 7.0, it should not > be enabled

Re: [dpdk-dev] [PATCH v2 2/2] doc: add a guide doc for cross compiling from x86

2018-05-28 Thread Bruce Richardson
On Mon, May 28, 2018 at 02:53:48AM -0400, Gavin Hu wrote: > This is guild for cross compiling for ARM64 from X86 hosts. > > Signed-off-by: Gavin Hu > Reviewed-by: Steve Capper > Reviewed-by: Honnappa Nagarahalli > Reviewed-by: Marko Kovacevic > Reviewed-by: Jerin Jacob > Reviewed-by: Thomas M

Re: [dpdk-dev] [DPDK 18.08 v1 00/12] net/mlx5: flow rework

2018-05-28 Thread Wiles, Keith
The subject does not have [PATCH ] so it will be missed by patchwork, right? > On May 28, 2018, at 6:21 AM, Nelio Laranjeiro > wrote: > > First version of for the flow engine rework of MLX5 to prepare the > introduction for the ENCAP/DECAP and PUSH/POP actions done via TC > flower/filter. > >

Re: [dpdk-dev] [DPDK 18.08] ethdev: add flow API to expand RSS flows

2018-05-28 Thread Wiles, Keith
This one too is missing the [PATCH ] keyword in the subject line. > On May 28, 2018, at 4:54 AM, Nelio Laranjeiro > wrote: > > Introduce an helper for PMD to expand easily flows items list with RSS > action into multiple flow items lists with priority information. > > For instance a user items

[dpdk-dev] [Bug 52] Bonding PMD may fail to accept new slaves in certain conditions

2018-05-28 Thread bugzilla
https://dpdk.org/tracker/show_bug.cgi?id=52 Bug ID: 52 Summary: Bonding PMD may fail to accept new slaves in certain conditions Product: DPDK Version: 18.05 Hardware: All OS: All Status: CONFIRME

Re: [dpdk-dev] [PATCH] net/thunderx: add support for hardware first skip feature

2018-05-28 Thread Ferruh Yigit
On 5/28/2018 1:57 PM, rkudurumalla wrote: > This feature is used to create a hole between HEADROOM > and actual data.Size of hole is specified in bytes as > module param to pmd Can't mbuf private area be used? It is between HEADROOM and mbuf header. > > Signed-off-by: Rakesh Kudurumalla <...>

Re: [dpdk-dev] [PATCH] net/mlx4: fix crash when configure is not called

2018-05-28 Thread Shahaf Shuler
Sunday, May 27, 2018 10:05 AM, Yongseok Koh: > Subject: [PATCH] net/mlx4: fix crash when configure is not called > > Although uncommon, applications may destroy a device immediately after > probing it without going through dev_configure() first. > > This patch addresses a crash which occurs when

Re: [dpdk-dev] [DPDK 18.08 v1 00/12] net/mlx5: flow rework

2018-05-28 Thread Ferruh Yigit
On 5/28/2018 2:32 PM, Wiles, Keith wrote: > The subject does not have [PATCH ] so it will be missed by patchwork, right? Patchwork already have them [1], it seems patchwork doesn't take patch subject-prefix into account. [1] Only I already mark the set as deferred, that is why it may not been see

[dpdk-dev] [PATCH] doc: document bonding known issue

2018-05-28 Thread Radu Nicolau
Signed-off-by: Radu Nicolau --- doc/guides/rel_notes/release_18_05.rst | 5 + 1 file changed, 5 insertions(+) diff --git a/doc/guides/rel_notes/release_18_05.rst b/doc/guides/rel_notes/release_18_05.rst index e8d74f5..ae14181 100644 --- a/doc/guides/rel_notes/release_18_05.rst +++ b/doc/gui

Re: [dpdk-dev] [DPDK 18.08 v1 00/12] net/mlx5: flow rework

2018-05-28 Thread NĂ©lio Laranjeiro
Hi Keith, On Mon, May 28, 2018 at 01:32:34PM +, Wiles, Keith wrote: > The subject does not have [PATCH ] so it will be missed by patchwork, right? I see them on the patchwork [1], it seems it uses anything else to determine if it is a patch or not. > > On May 28, 2018, at 6:21 AM, Nelio Lara

[dpdk-dev] [PATCH v1] doc: add guides for patch fix issues

2018-05-28 Thread Marko Kovacevic
Added contribution guideline for adding tags when sending patches that have been raised on Bugzilla Signed-off-by: Marko Kovacevic --- doc/guides/contributing/patches.rst | 48 ++--- 1 file changed, 34 insertions(+), 14 deletions(-) diff --git a/doc/guides/contri

[dpdk-dev] [Bug 53] rte abort issue on FreeBSD

2018-05-28 Thread bugzilla
https://dpdk.org/tracker/show_bug.cgi?id=53 Bug ID: 53 Summary: rte abort issue on FreeBSD Product: DPDK Version: 18.05 Hardware: All OS: FreeBSD Status: CONFIRMED Severity: major Priority: Norma

Re: [dpdk-dev] [PATCH v2] doc: add known issue of rte abort on FreeBSD

2018-05-28 Thread Mcnamara, John
> -Original Message- > From: Pattan, Reshma > Sent: Monday, May 21, 2018 11:16 AM > To: dev@dpdk.org > Cc: Burakov, Anatoly ; Mcnamara, John > ; Pattan, Reshma > Subject: [PATCH v2] doc: add known issue of rte abort on FreeBSD > > Added known issue of rte_abort taking a long time on Fre

Re: [dpdk-dev] [PATCH v2] doc/guides/rel_notes: Add known issue for IOMMU attributes read

2018-05-28 Thread Mcnamara, John
> -Original Message- > From: Xu, Rosen > Sent: Wednesday, May 16, 2018 8:29 AM > To: dev@dpdk.org > Cc: Burakov, Anatoly ; gaetan.ri...@6wind.com; > Mcnamara, John ; Xu, Rosen ; > Pei, Yulong ; sta...@dpdk.org > Subject: [PATCH v2] doc/guides/rel_notes: Add known issue for IOMMU > attribu

Re: [dpdk-dev] [PATCH v2] doc/guides/rel_notes: Add known issue for IOMMU attributes read

2018-05-28 Thread Mcnamara, John
> -Original Message- > From: stable [mailto:stable-boun...@dpdk.org] > Sent: Monday, May 28, 2018 4:31 PM > To: Xu, Rosen ; dev@dpdk.org > Cc: Burakov, Anatoly ; gaetan.ri...@6wind.com; > Pei, Yulong ; sta...@dpdk.org; Yigit, Ferruh > > Subject: Re: [dpdk-stable] [PATCH v2] doc/guides/re

[dpdk-dev] [PATCH v3] doc: add known issue of rte abort on FreeBSD

2018-05-28 Thread Reshma Pattan
Added known issue of rte_abort taking a long time on FreeBSD due to recent memory subsystem rework. Also, reference Bugzilla entry for keeping most current information in one place. Signed-off-by: Reshma Pattan Acked-by: Anatoly Burakov --- v3: added bugzilla reference. --- doc/guides/rel_notes

Re: [dpdk-dev] [PATCH v1] doc: add guides for patch fix issues

2018-05-28 Thread Ferruh Yigit
On 5/28/2018 4:23 PM, Marko Kovacevic wrote: > Added contribution guideline for adding tags > when sending patches that have been raised on > Bugzilla > > Signed-off-by: Marko Kovacevic Acked-by: Ferruh Yigit

Re: [dpdk-dev] [PATCH v2 2/2] doc: add a guide doc for cross compiling from x86

2018-05-28 Thread Kovacevic, Marko
> This is guild for cross compiling for ARM64 from X86 hosts. > > Signed-off-by: Gavin Hu > Reviewed-by: Steve Capper > Reviewed-by: Honnappa Nagarahalli > Reviewed-by: Marko Kovacevic > Reviewed-by: Jerin Jacob > Reviewed-by: Thomas Monjalon > Reviewed-by: Bruce Richardson > --- Hi Gavin,

Re: [dpdk-dev] [PATCH v1] doc: add SPDX Licence to doc files

2018-05-28 Thread Mcnamara, John
> -Original Message- > From: Hemant Agrawal [mailto:hemant.agra...@nxp.com] > Sent: Saturday, May 26, 2018 1:59 PM > To: Kovacevic, Marko ; Thomas Monjalon > ; Yigit, Ferruh > Cc: dev@dpdk.org; Mcnamara, John > Subject: RE: [dpdk-dev] [PATCH v1] doc: add SPDX Licence to doc files > >

[dpdk-dev] [PATCH 1/2] doc: add port representor update in release notes

2018-05-28 Thread Mohammad Abdul Awal
Change-Id: I21f59c0e540577970c2f3bb8fcd6536fb1ccaefb Signed-off-by: Mohammad Abdul Awal --- doc/guides/rel_notes/release_18_05.rst | 10 ++ 1 file changed, 10 insertions(+) diff --git a/doc/guides/rel_notes/release_18_05.rst b/doc/guides/rel_notes/release_18_05.rst index e8d74f507..511d

[dpdk-dev] [PATCH 2/2] doc: add vxlan and nvgre tunnel update in release notes

2018-05-28 Thread Mohammad Abdul Awal
Change-Id: Ibcb8d2343db7f3ac8346dd2ac73ff93e026e0431 Signed-off-by: Mohammad Abdul Awal --- doc/guides/rel_notes/release_18_05.rst | 17 + 1 file changed, 17 insertions(+) diff --git a/doc/guides/rel_notes/release_18_05.rst b/doc/guides/rel_notes/release_18_05.rst index 511d5190

[dpdk-dev] [PATCH v1] doc: postpone devargs genericisation work

2018-05-28 Thread Gaetan Rivet
Device querying and declaration has been postponed to 18.08. Additionally, while working on the feature, some changes previously announced won't be enacted. Signed-off-by: Gaetan Rivet --- doc/guides/rel_notes/deprecation.rst | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/

[dpdk-dev] [PATCH 2/2] doc: add vxlan and nvgre tunnel update in release notes

2018-05-28 Thread Mohammad Abdul Awal
Signed-off-by: Mohammad Abdul Awal --- doc/guides/rel_notes/release_18_05.rst | 17 + 1 file changed, 17 insertions(+) diff --git a/doc/guides/rel_notes/release_18_05.rst b/doc/guides/rel_notes/release_18_05.rst index 511d51908..37d102572 100644 --- a/doc/guides/rel_notes/releas

[dpdk-dev] [PATCH 1/2] doc: add port representor update in release notes

2018-05-28 Thread Mohammad Abdul Awal
Signed-off-by: Mohammad Abdul Awal --- doc/guides/rel_notes/release_18_05.rst | 10 ++ 1 file changed, 10 insertions(+) diff --git a/doc/guides/rel_notes/release_18_05.rst b/doc/guides/rel_notes/release_18_05.rst index e8d74f507..511d51908 100644 --- a/doc/guides/rel_notes/release_18_05

[dpdk-dev] [PATCH 0/2] Vhost: unitfy receive paths

2018-05-28 Thread Maxime Coquelin
Hi, This series is preliminary work to ease the integration of packed ring layout support. But even without packed ring layout, the result is positive. First patch unify both paths, and second one is a small optimization to avoid copying batch_copy_nb_elems VQ field to/from the stack. With the s

[dpdk-dev] [PATCH 2/2] vhost: improve batched copies performance

2018-05-28 Thread Maxime Coquelin
Instead of copying batch_copy_nb_elems into the stack, this patch uses it directly. Small performance gain of 3% is seen when running PVP benchmark. Signed-off-by: Maxime Coquelin --- lib/librte_vhost/virtio_net.c | 29 ++--- 1 file changed, 14 insertions(+), 15 deletion

[dpdk-dev] [PATCH 1/2] vhost: unify Rx mergeable and non-mergeable paths

2018-05-28 Thread Maxime Coquelin
This patch reworks the vhost enqueue path so that a single code path is used for both Rx mergeable or non-mergeable cases. Signed-off-by: Maxime Coquelin --- lib/librte_vhost/virtio_net.c | 337 +++--- 1 file changed, 18 insertions(+), 319 deletions(-) diff -

Re: [dpdk-dev] [PATCH 1/2] doc: add port representor update in release notes

2018-05-28 Thread Mcnamara, John
> -Original Message- > From: dev [mailto:dev-boun...@dpdk.org] On Behalf Of Mohammad Abdul Awal > Sent: Monday, May 28, 2018 5:12 PM > To: dev@dpdk.org > Cc: Awal, Mohammad Abdul > Subject: [dpdk-dev] [PATCH 1/2] doc: add port representor update in > release notes > > Signed-off-by: Mo

Re: [dpdk-dev] [PATCH 2/2] doc: add vxlan and nvgre tunnel update in release notes

2018-05-28 Thread Mcnamara, John
> -Original Message- > From: dev [mailto:dev-boun...@dpdk.org] On Behalf Of Mohammad Abdul Awal > Sent: Monday, May 28, 2018 5:12 PM > To: dev@dpdk.org > Cc: Awal, Mohammad Abdul > Subject: [dpdk-dev] [PATCH 2/2] doc: add vxlan and nvgre tunnel update in > release notes > > Signed-off-

Re: [dpdk-dev] [PATCH v3] doc: add known issue of rte abort on FreeBSD

2018-05-28 Thread Mcnamara, John
> -Original Message- > From: Pattan, Reshma > Sent: Monday, May 28, 2018 4:40 PM > To: dev@dpdk.org > Cc: Burakov, Anatoly ; Mcnamara, John > ; Pattan, Reshma > Subject: [PATCH v3] doc: add known issue of rte abort on FreeBSD > > Added known issue of rte_abort taking a long time on Fre

Re: [dpdk-dev] [PATCH v6] checkpatches.sh: Add checks for ABI symbol addition

2018-05-28 Thread Neil Horman
On Mon, May 28, 2018 at 12:01:15AM +0200, Thomas Monjalon wrote: > 27/05/2018 23:00, Neil Horman: > > On Sun, May 27, 2018 at 09:34:13PM +0200, Thomas Monjalon wrote: > > > Hi Neil, > > > > > > Sorry, this patch has been forgotten during the whole release cycle. > > > > > Its ok, though that is f

Re: [dpdk-dev] [PATCH 1/2] vhost: unify Rx mergeable and non-mergeable paths

2018-05-28 Thread Maxime Coquelin
On 05/28/2018 06:23 PM, Maxime Coquelin wrote: @@ -602,7 +297,7 @@ reserve_avail_buf_mergeable(struct virtio_net *dev, struct vhost_virtqueue *vq, Just notice I forgot to remove "mergeable" from the functions names here and below. I'll fix this in next revision after having collected some f

Re: [dpdk-dev] [PATCH v2] doc/guides/rel_notes: Add known issue for IOMMU attributes read

2018-05-28 Thread Xu, Rosen
Hi Mcnamara and Kovacevic, Could you apply this patch? Thanks a lot. > -Original Message- > From: Mcnamara, John > Sent: Monday, May 28, 2018 23:37 > To: Xu, Rosen ; dev@dpdk.org > Cc: Burakov, Anatoly ; > gaetan.ri...@6wind.com; Pei, Yulong ; > sta...@dpdk.org; Yigit, Ferruh > Subject:

Re: [dpdk-dev] [PATCH v2 1/2] mk: fix cross build errors

2018-05-28 Thread Gavin Hu
Hi Bruce, Thanks for your helpful comment, see my inline comments. Best Regards, Gavin -Original Message- From: Bruce Richardson Sent: Monday, May 28, 2018 9:24 PM To: Gavin Hu Cc: dev@dpdk.org; sta...@dpdk.org Subject: Re: [dpdk-dev] [PATCH v2 1/2] mk: fix cross build errors On Mon,

Re: [dpdk-dev] [PATCH v2 2/2] doc: add a guide doc for cross compiling from x86

2018-05-28 Thread Gavin Hu
-Original Message- From: Bruce Richardson Sent: Monday, May 28, 2018 9:25 PM To: Gavin Hu Cc: dev@dpdk.org Subject: Re: [dpdk-dev] [PATCH v2 2/2] doc: add a guide doc for cross compiling from x86 On Mon, May 28, 2018 at 02:53:48AM -0400, Gavin Hu wrote: > This is guild for cross comp

Re: [dpdk-dev] [PATCH v1] doc: add SPDX Licence to doc files

2018-05-28 Thread Hemant Agrawal
HI John, > > -Original Message- > From: Kovacevic, Marko [mailto:marko.kovace...@intel.com] > Sent: Friday, May 25, 2018 9:00 PM > To: Thomas Monjalon ; Hemant Agrawal > ; Yigit, Ferruh > Cc: dev@dpdk.org; Mcnamara, John > Subject: RE: [dpdk-dev] [PATCH v1] doc: add SPDX Licence to doc

[dpdk-dev] [PATCH] rte_ring: clarify preemptable nature of ring algorithm

2018-05-28 Thread Honnappa Nagarahalli
rte_ring implementation is not preemptable only under certain circumstances. This clarification is helpful for data plane and control plane communication using rte_ring. Signed-off-by: Honnappa Nagarahalli Reviewed-by: Gavin Hu Reviewed-by: Ola Liljedahl --- lib/librte_ring/rte_ring.h | 9

[dpdk-dev] [PATCH v2] rte_ring: clarify preemptible nature of ring algorithm

2018-05-28 Thread Honnappa Nagarahalli
rte_ring implementation is not preemptible only under certain circumstances. This clarification is helpful for data plane and control plane communication using rte_ring. Signed-off-by: Honnappa Nagarahalli Reviewed-by: Gavin Hu Reviewed-by: Ola Liljedahl --- v2: * Fixed checkpatch warnings li

Re: [dpdk-dev] [PATCH v2] net/i40e: add a specific API to control the LLDP agent

2018-05-28 Thread Zhang, Helin
> -Original Message- > From: dev [mailto:dev-boun...@dpdk.org] On Behalf Of Zijie Pan > Sent: Thursday, May 24, 2018 9:33 AM > To: dev@dpdk.org > Cc: Xing, Beilei; Zhang, Qi Z; tho...@monjalon.net; Laurent Hardy > Subject: [dpdk-dev] [PATCH v2] net/i40e: add a specific API to control the

[dpdk-dev] [PATCH v3 1/2] mk: fix cross build errors

2018-05-28 Thread Gavin Hu
The "-Wimplicit-fallthrough=2" option was introduced into gcc 7.0, it was enabled when the cross compiler gcc is greater than 7.0, but for the host side buildtools/pmdinfogen, if the native is older than 7.0, it should not be enabled. The fix is to differentiate the host gcc Werror options from th

[dpdk-dev] [PATCH v3 2/2] doc: add a guide doc for cross compiling from x86

2018-05-28 Thread Gavin Hu
This is the guide for cross compiling ARM64 DPDK from X86 hosts. Signed-off-by: Gavin Hu Reviewed-by: Steve Capper Reviewed-by: Honnappa Nagarahalli Reviewed-by: Marko Kovacevic Reviewed-by: Jerin Jacob Reviewed-by: Thomas Monjalon Reviewed-by: Bruce Richardson --- .../linux_gsg/cross_buil