[Milkymist-devel] [PATCH 09/11] lm32: split lm32_include.v

2012-11-14 Thread Michael Walle
Split lm32_include.v into common defines and actual processor configuration. Put the first module into the rtl/ directory. Signed-off-by: Michael Walle mich...@walle.cc --- boards/milkymist-one/rtl/lm32_config.v | 44 boards/milkymist-one/rtl/lm32_include.v | 382

Re: [Milkymist-devel] [PATCH 00/11] lm32 testbenches

2012-11-14 Thread Sébastien Bourdeauducq
Hi Michael, thank you for the patches. I have applied them to both the legacy SoC and to -ng without the Verilog test bench. Sébastien On 11/12/2012 07:36 PM, Michael Walle wrote: This patchset adds support for simulating the LatticeMico32 core in Icarus Verilog. Additionally, various