[Milkymist-devel] HDMI mixer extension protoboards

2013-02-18 Thread Sébastien Bourdeauducq
Hi, Here are pictures of the assembled HDMI mixer extension: https://twitter.com/Milkymist_Labs/status/303535201139703809/photo/1 https://twitter.com/Milkymist_Labs/status/303535092825989123/photo/1 https://twitter.com/Milkymist_Labs/status/303534968381001729/photo/1 I have a few extra PCBs, le

Re: [Milkymist-devel] [PATCH] Migen patches

2013-02-18 Thread Sébastien Bourdeauducq
On 02/18/2013 02:00 PM, Florent Kermarrec wrote: The De0-Nano design is now using mibuild! (The altera_quartus platform has still some missing functionalities but generates a valid fpga). Great! Please submit a Mibuild patch when you feel it's ready. Thanks! Sébastien

Re: [Milkymist-devel] Multiplexing two bidirectional data buses

2013-02-18 Thread Fernand Lone-Sang
Hi, > I'd recommend you focus your efforts on milkymist-ng > (https://github.com/milkymist/milkymist-ng / > http://milkymist.org/3/migen.html). Since PSRAM is slow, I don't think you > could go much further than CPU + framebuffer - both are working today on > -ng. CPU + framebuffer is actually wh

Re: [Milkymist-devel] [PATCH] Migen patches

2013-02-18 Thread Florent Kermarrec
Thanks for the answers, I've done the change on my ASMI port (use of 2 slots), the assertion no longer triggers. I will also try to implement your solution to split memories on Cyclone 2. The De0-Nano design is now using mibuild! (The altera_quartus platform has still some missing functionalities