Associative array .length undefined symbol

2011-09-07 Thread Cal
Hi, I have a strange error with associative arrays. I have the following: module mod_base; abstract class Base { int[string] m_arr; } module mod_derived; class Derived : Base { void foo() { m_arr["hello"] = 5; /// This works fine auto len = m_arr.length; /// Symbol er

Re: Associative array .length undefined symbol

2011-09-07 Thread Cal
Actually this looks like Issue 5950, missed it the first time. Maybe thats why it happens. Cal