Re: gEDA-user: [iverilog] running the git source of Icarus Verilog

2008-08-22 Thread Stephen Williams
Günter Dannoritzer wrote: Jared Casper wrote: On Sat, Aug 16, 2008 at 12:55 PM, Günter Dannoritzer [EMAIL PROTECTED] wrote: So with the latest development snapshot it gave me an assertion, but with the git version a segmentation fault. I saw this behavior as well, so I don't think it is

Re: gEDA-user: [iverilog] running the git source of Icarus Verilog

2008-08-16 Thread Stephen Williams
Günter Dannoritzer wrote: Hi, I tried to install Icarus Verilog from git and wonder whether I did something wrong, as when things go wrong it crashes with a segmentation fault. I have to say that I have the latest development snapshot installed in parallel in the standard path. That

Re: gEDA-user: [iverilog] running the git source of Icarus Verilog

2008-08-16 Thread Günter Dannoritzer
Stephen Williams wrote: Günter Dannoritzer wrote: ... How can I check that it works correct? It is just as likely that you found a bug that is segfaulting instead of tripping an assert. That is rare in Icarus Verilog because we're so liberal with assertions, but it does happen from time to

Re: gEDA-user: [iverilog] running the git source of Icarus Verilog

2008-08-16 Thread Jared Casper
On Sat, Aug 16, 2008 at 12:55 PM, Günter Dannoritzer [EMAIL PROTECTED] wrote: So with the latest development snapshot it gave me an assertion, but with the git version a segmentation fault. I saw this behavior as well, so I don't think it is your setup. Something must have changed in git

Re: gEDA-user: [iverilog] running the git source of Icarus Verilog

2008-08-16 Thread Günter Dannoritzer
Jared Casper wrote: On Sat, Aug 16, 2008 at 12:55 PM, Günter Dannoritzer [EMAIL PROTECTED] wrote: So with the latest development snapshot it gave me an assertion, but with the git version a segmentation fault. I saw this behavior as well, so I don't think it is your setup. Something

gEDA-user: [iverilog] running the git source of Icarus Verilog

2008-08-13 Thread Günter Dannoritzer
Hi, I tried to install Icarus Verilog from git and wonder whether I did something wrong, as when things go wrong it crashes with a segmentation fault. I have to say that I have the latest development snapshot installed in parallel in the standard path. So what I did with the git version is