Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tom Hawkins
Tomasz Motylewski wrote: However, it turns out that the IEEE1364-1995 standard was overruled by the -2001 standard which changes the rule to the width of a multiply being figured the same way the widths of a sum are figured. The older behavior makes much more mathematical sense, but engineers are n

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Stephen Williams
-BEGIN PGP SIGNED MESSAGE- Hash: SHA1 Tomasz Motylewski wrote: |>However, it turns out that the IEEE1364-1995 standard was overruled |>by the -2001 standard which changes the rule to the width of a |>multiply being figured the same way the widths of a sum are figured. |>The older behavior m

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Charles Lepple
On Fri, 18 Mar 2005 20:22:07 +0100 (CET), Tomasz Motylewski <[EMAIL PROTECTED]> wrote: > > > However, it turns out that the IEEE1364-1995 standard was overruled > > by the -2001 standard which changes the rule to the width of a > > multiply being figured the same way the widths of a sum are figure

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tomasz Motylewski
> However, it turns out that the IEEE1364-1995 standard was overruled > by the -2001 standard which changes the rule to the width of a > multiply being figured the same way the widths of a sum are figured. > The older behavior makes much more mathematical sense, but engineers > are not always logi

Re: gEDA-user: Icarus/NC mismatch -- who's right?

2005-03-18 Thread Mark Schellhorn
Ah yes... some instrumentation (below) demonstrated it. Thanks! module junk; reg [3:0] pi, ci, fill; reg [31:0] my_word; reg [31:0] fifo [0:7]; initial begin // Put some data in a fifo. fifo[0] = 1; fifo[1] = 2; fifo[2] = 3; fifo[3] = 4; fifo[4] = 5;

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tom Hawkins
Stephen Williams wrote: -BEGIN PGP SIGNED MESSAGE- Hash: SHA1 Tom Hawkins wrote: | I hit a case with Icarus synthesis involving embedded | multiplication expressions inside concatenations. Outside | a concat, the multiplier is synthesized to the correct | precision. However, inside a conc

Re: gEDA-user: Icarus/NC mismatch -- who's right?

2005-03-18 Thread Evan Lavelle
Mark Schellhorn wrote: Who's right? It kind of looks like the read_word() task fails to wait for @(ci) before leaving??? They're both right - you've got a race between your fill calculation and the inner read/display loop. for your code to work, the '@(ci)' timing control must lead immediately t

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Stephen Williams
-BEGIN PGP SIGNED MESSAGE- Hash: SHA1 Tom Hawkins wrote: | I hit a case with Icarus synthesis involving embedded | multiplication expressions inside concatenations. Outside | a concat, the multiplier is synthesized to the correct | precision. However, inside a concat, the multiplier | pre

gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tom Hawkins
I hit a case with Icarus synthesis involving embedded multiplication expressions inside concatenations. Outside a concat, the multiplier is synthesized to the correct precision. However, inside a concat, the multiplier precision is doubled. Also with this case, I find a couple of non-driven nexu

Re: gEDA-user: Icarus/NC mismatch -- who's right?

2005-03-18 Thread Mark Schellhorn
This variant does the same thing in both simulators... module junk; reg [3:0] pi, ci, fill; reg [31:0] my_word; reg [31:0] fifo [0:7]; initial begin // Put some data in a fifo. fifo[0] = 1; fifo[1] = 2; fifo[2] = 3; fifo[3] = 4; fifo[4] = 5; fif

Re: gEDA-user: Icarus/NC mismatch -- who's right?

2005-03-18 Thread Mark Schellhorn
Oops.. left a syntax error in the verilog. Corrected: module junk; reg [3:0] pi, ci, fill; reg [31:0] my_word; reg [31:0] fifo [0:7]; initial begin // Put some data in a fifo. fifo[0] = 1; fifo[1] = 2; fifo[2] = 3; fifo[3] = 4; fifo[4] = 5; fifo

Re: gEDA-user: Question about creating footprints under PCB

2005-03-18 Thread Charles Lepple
On Fri, 18 Mar 2005 05:56:21 -0800, Stephen Meier <[EMAIL PROTECTED]> wrote: > Actually there may be a > use for that technology. I need to look into how the silk screen is > applied but potentially we could use it to create high resolution fonts > and logos. To some extent, the silkscreen resolut

gEDA-user: Icarus/NC mismatch -- who's right?

2005-03-18 Thread Mark Schellhorn
When I simulate the following module with NC I get the expected result, when I simulate with Icarus 0.8.1 the simulator goes into an infinite zero-time loop. What I expect to see is that after the initial block puts four entries into fifo, the always @(fill) block reads them out one at a time un

Re: gEDA-user: Question about creating footprints under PCB

2005-03-18 Thread DJ Delorie
> Wow less then 4 hours from concept to demonstration. Remind me to be > carefull about sharing my more outragous ideas. Actually there may > be a use for that technology. I need to look into how the silk > screen is applied but potentially we could use it to create high > resolution fonts and log

Re: gEDA-user: Question about creating footprints under PCB

2005-03-18 Thread Stephen Meier
DJ Delorie wrote: Ohh now I feel challenged can porn be converted to pcb foot print format? What, you mean like this? http://www.delorie.com/tmp/photo.pcb.gz Wow less then 4 hours from concept to demonstration. Remind me to be carefull about sharing my more outragous ideas. Actually there