Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tom Hawkins
Tomasz Motylewski wrote: However, it turns out that the IEEE1364-1995 standard was overruled by the -2001 standard which changes the rule to the width of a multiply being figured the same way the widths of a sum are figured. The older behavior makes much more mathematical sense, but engineers are n

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Stephen Williams
-BEGIN PGP SIGNED MESSAGE- Hash: SHA1 Tomasz Motylewski wrote: |>However, it turns out that the IEEE1364-1995 standard was overruled |>by the -2001 standard which changes the rule to the width of a |>multiply being figured the same way the widths of a sum are figured. |>The older behavior m

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Charles Lepple
On Fri, 18 Mar 2005 20:22:07 +0100 (CET), Tomasz Motylewski <[EMAIL PROTECTED]> wrote: > > > However, it turns out that the IEEE1364-1995 standard was overruled > > by the -2001 standard which changes the rule to the width of a > > multiply being figured the same way the widths of a sum are figure

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tomasz Motylewski
> However, it turns out that the IEEE1364-1995 standard was overruled > by the -2001 standard which changes the rule to the width of a > multiply being figured the same way the widths of a sum are figured. > The older behavior makes much more mathematical sense, but engineers > are not always logi

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tom Hawkins
Stephen Williams wrote: -BEGIN PGP SIGNED MESSAGE- Hash: SHA1 Tom Hawkins wrote: | I hit a case with Icarus synthesis involving embedded | multiplication expressions inside concatenations. Outside | a concat, the multiplier is synthesized to the correct | precision. However, inside a conc

Re: gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Stephen Williams
-BEGIN PGP SIGNED MESSAGE- Hash: SHA1 Tom Hawkins wrote: | I hit a case with Icarus synthesis involving embedded | multiplication expressions inside concatenations. Outside | a concat, the multiplier is synthesized to the correct | precision. However, inside a concat, the multiplier | pre

gEDA-user: Icarus multiplier lpm

2005-03-18 Thread Tom Hawkins
I hit a case with Icarus synthesis involving embedded multiplication expressions inside concatenations. Outside a concat, the multiplier is synthesized to the correct precision. However, inside a concat, the multiplier precision is doubled. Also with this case, I find a couple of non-driven nexu