Re: [Ghdl-discuss] Compile error: actual expression must be globally static

2016-11-11 Thread David Koontz
> On Nov 12, 2016, at 9:58 AM, Carlos Alberto Ruiz > wrote: > > Hello Andrey, > > I've changed the code and made it compatible with standard VHDL. > > --- Non-static expressions as actuals in port map associations is a -2008 feature. IEEE Std 1076-2008 6.5.6

Re: [Ghdl-discuss] Compile error: actual expression must be globally static

2016-11-11 Thread Carlos Alberto Ruiz
Hello Andrey, I've changed the code and made it compatible with standard VHDL. --- CARLOS ALBERTO RUIZ NARANJO _FPGA engineer_ cr...@dasphotonics.com __ DAS PHOTONICS S.L. Ciudad Politécnica de la Innovación, Camino de Vera s/n. Acceso K, Edificio 8F, 2ª planta 46022 Valencia - SPAIN

Re: [Ghdl-discuss] Compile error: actual expression must be globally static

2016-11-11 Thread Andrey Gursky
Hi Carlos, On Tue, 25 Oct 2016 16:39:51 +0200 Carlos Alberto Ruiz wrote: > Thanks for the response Andrey Gursky. > > I use VHDL 2000. Im having errors in a lot of ports. For example: > > signal in_0 : std_logic; > signal out_0 : std_logic'; > > signal out_1 : std_logic'; > > IP0: ip0

Re: [Ghdl-discuss] Compile error: actual expression must be globally static

2016-10-25 Thread Brian L. Drummond
Is this one of the rules affected by the -frelaxed-rules compile option? From: Ghdl-discuss on behalf of Carlos Alberto Ruiz Sent: 25 October 2016 15:39:51 To: Andrey Gursky Cc: GHDL discuss list Subject: Re: [Ghdl-discuss] Compile error: actual expression

Re: [Ghdl-discuss] Compile error: actual expression must be globally static

2016-10-25 Thread Carlos Alberto Ruiz
Thanks for the response Andrey Gursky. I use VHDL 2000. Im having errors in a lot of ports. For example: signal in_0 : std_logic; signal out_0 : std_logic'; signal out_1 : std_logic'; IP0: ip0 port map ( input => in_0 & in_0, -- ERROR output => out_0 ); IP1: ip1 port map ( input

Re: [Ghdl-discuss] Compile error: actual expression must be globally static

2016-10-25 Thread Andrey Gursky
Hi, On Tue, 25 Oct 2016 14:19:49 +0200 Carlos Alberto Ruiz wrote: > Hello, > > I have this error to compile: > > /home/cruiz/ELINT/95512010_FPGA_central/src/tosca2/tosca2_ifc_suser_elint_agsw.vhd:2078:58: > actual expression must be globally static > > In this line: > > port map(id_ch1

[Ghdl-discuss] Compile error: actual expression must be globally static

2016-10-25 Thread Carlos Alberto Ruiz
Hello, I have this error to compile: /home/cruiz/ELINT/95512010_FPGA_central/src/tosca2/tosca2_ifc_suser_elint_agsw.vhd:2078:58: actual expression must be globally static In this line: port map(id_ch1 => i_fmc1(0,7) & i_fmc1(0,6) & i_fmc1(0,5) & i_fmc1(0,4) & i_fmc1(0,3) & i_fmc1(0,2)