Re: [julia-users] Promotion of Array{Array{T,2},1}

2016-04-18 Thread Tim Holy
If performance matters, currently you're going to have to specify the element type---not for the sake of your `MyType` object, but simply for the efficient access to elements of c and d. This will change once https://github.com/JuliaLang/julia/pull/15921 merges. On julia 0.4, you could write

[julia-users] Promotion of Array{Array{T,2},1}

2016-04-18 Thread Patrick Kofod Mogensen
Say I have something like type MyType{T} a::T b::Vector{T} c::Vector{Vector{T}} d::Vector{Matrix{T}} end MyType(3, [3, 3], Vector{Int64}[[3,3], [4,4]], Matrix{Int64}[[3 1],[1 3]]) MyType(3, [3, 3], Vector[[3,3], [4,4]], Matrix[[3 1],[1 3]]) The first call to the MyType