commit ghc-svg-tree for openSUSE:Factory

2017-04-11 Thread root
Hello community, here is the log from the commit of package ghc-svg-tree for openSUSE:Factory checked in at 2017-04-11 09:43:42 Comparing /work/SRC/openSUSE:Factory/ghc-svg-tree (Old) and /work/SRC/openSUSE:Factory/.gh

commit ghc-svg-tree for openSUSE:Factory

2017-03-03 Thread root
Hello community, here is the log from the commit of package ghc-svg-tree for openSUSE:Factory checked in at 2017-03-03 17:51:59 Comparing /work/SRC/openSUSE:Factory/ghc-svg-tree (Old) and /work/SRC/openSUSE:Factory/.gh

commit ghc-svg-tree for openSUSE:Factory

2017-02-03 Thread root
Hello community, here is the log from the commit of package ghc-svg-tree for openSUSE:Factory checked in at 2017-01-31 12:47:49 Comparing /work/SRC/openSUSE:Factory/ghc-svg-tree (Old) and /work/SRC/openSUSE:Factory/.gh

commit ghc-svg-tree for openSUSE:Factory

2017-01-23 Thread root
Hello community, here is the log from the commit of package ghc-svg-tree for openSUSE:Factory checked in at 2017-01-23 11:33:52 Comparing /work/SRC/openSUSE:Factory/ghc-svg-tree (Old) and /work/SRC/openSUSE:Factory/.gh