CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexandre Ratchov
CVSROOT:/cvs Module name:src Changes by: ratc...@cvs.openbsd.org 2019/05/09 22:45:48 Modified files: usr.bin/sndiod : midi.c Log message: Backout latest, commited by mistake.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexandre Ratchov
CVSROOT:/cvs Module name:src Changes by: ratc...@cvs.openbsd.org 2019/05/09 22:40:16 Modified files: usr.bin/sndiod : midi.c Log message: Use the correct length for MIDI common messages.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexandre Ratchov
CVSROOT:/cvs Module name:src Changes by: ratc...@cvs.openbsd.org 2019/05/09 22:39:08 Modified files: usr.bin/sndiod : fdpass.c Log message: Don't try to send to device helper process if it's terminated.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Bob Beck
CVSROOT:/cvs Module name:src Changes by: b...@cvs.openbsd.org2019/05/09 20:33:57 Modified files: sys/uvm: uvm_pdaemon.c Log message: simplify logic after wakeup since this variable is only manipulated under lock ok guenther@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexander Bluhm
CVSROOT:/cvs Module name:src Changes by: bl...@cvs.openbsd.org 2019/05/09 20:22:34 Modified files: regress/sbin/slaacd: Makefile regress/sys/kern/sosplice/scapy: Makefile regress/sys/net/pf_forward: Makefile regress/sys/net/pf_fragment:

CVS: cvs.openbsd.org: src

2019-05-09 Thread Bob Beck
CVSROOT:/cvs Module name:src Changes by: b...@cvs.openbsd.org2019/05/09 20:16:50 Modified files: sys/uvm: uvm_pdaemon.c Log message: Check for nowait failed *after* the wakeup point, not before. ok guenther@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Philip Guenther
CVSROOT:/cvs Module name:src Changes by: guent...@cvs.openbsd.org2019/05/09 20:05:35 Modified files: sys/dev/usb: xhcireg.h Log message: Add more flags to the debug bitmask ok mpi@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Philip Guenther
CVSROOT:/cvs Module name:src Changes by: guent...@cvs.openbsd.org2019/05/09 19:31:30 Modified files: lib/libc/arch/amd64/sys: tfork_thread.S Log message: Apply retpoline protection to the indirect call to the thread startfunc ok mortimer@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Christian Weisgerber
CVSROOT:/cvs Module name:src Changes by: na...@cvs.openbsd.org 2019/05/09 19:29:14 Modified files: usr.sbin/sysupgrade: sysupgrade.sh Log message: tweak verbosity; ok ccardenas@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Philip Guenther
CVSROOT:/cvs Module name:src Changes by: guent...@cvs.openbsd.org2019/05/09 19:29:31 Modified files: sbin/dhclient : dispatch.c sbin/ifconfig : brconfig.c ifconfig.c usr.bin/netstat: route.c usr.sbin/hostapd: apme.c handle.c hostapd.c

CVS: cvs.openbsd.org: src

2019-05-09 Thread Philip Guenther
CVSROOT:/cvs Module name:src Changes by: guent...@cvs.openbsd.org2019/05/09 17:13:31 Modified files: regress/sys/kern/mmap2: mmaptest.c Log message: Drop reference to sparc and expand 'VAC' so the comment makes sense to semi-gurus

CVS: cvs.openbsd.org: www

2019-05-09 Thread Theo Buehler
CVSROOT:/cvs Module name:www Changes by: t...@cvs.openbsd.org2019/05/09 17:09:15 Modified files: . : 66.html Log message: incorrect carry operation for streebog

CVS: cvs.openbsd.org: src

2019-05-09 Thread Theo Buehler
CVSROOT:/cvs Module name:src Changes by: t...@cvs.openbsd.org2019/05/09 17:01:09 Modified files: regress/lib/libcrypto/evp: evptests.txt Log message: Add a test vector for Streebog 512 from Guido Vranken

CVS: cvs.openbsd.org: src

2019-05-09 Thread Theo Buehler
CVSROOT:/cvs Module name:src Changes by: t...@cvs.openbsd.org2019/05/09 16:54:28 Modified files: lib/libcrypto/gost: streebog.c Log message: Fix incorrect carry operation in 512 bit addition: in the case that there is already a carry and Sigma[i-1] == -1, the carry

CVS: cvs.openbsd.org: src

2019-05-09 Thread Philip Guenther
CVSROOT:/cvs Module name:src Changes by: guent...@cvs.openbsd.org2019/05/09 16:44:53 Modified files: usr.bin/xinstall: xinstall.c Log message: This no longer uses utime(3), so it doesn't need

CVS: cvs.openbsd.org: src

2019-05-09 Thread Kenneth R Westerback
CVSROOT:/cvs Module name:src Changes by: k...@cvs.openbsd.org2019/05/09 16:38:42 Modified files: distrib/miniroot: install.sub Log message: Don't ignore configured netmask/prefixlen on restarting an install. Use the info as default answers in relevant questions.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Claudio Jeker
CVSROOT:/cvs Module name:src Changes by: clau...@cvs.openbsd.org 2019/05/09 16:27:33 Modified files: usr.sbin/bgpd : rde_filter.c rde_update.c Log message: Neither rde_apply_set() nor rde_filter() are called with a NULL state so remove some unneeded == NULL checks. OK

CVS: cvs.openbsd.org: src

2019-05-09 Thread Philip Guenther
CVSROOT:/cvs Module name:src Changes by: guent...@cvs.openbsd.org2019/05/09 16:25:42 Modified files: sys/kern : exec_elf.c Log message: If mallocing the array program header fails, give up on coredumping instead of panicing ok deraadt@, tedu@, mpi@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Kenneth R Westerback
CVSROOT:/cvs Module name:src Changes by: k...@cvs.openbsd.org2019/05/09 15:55:26 Modified files: distrib/miniroot: install.sub Log message: Simplify v4_config() and v6_config() by getting updated information via [v4|v6]_info() after calling ifconfig. As a side

CVS: cvs.openbsd.org: src

2019-05-09 Thread Christian Weisgerber
CVSROOT:/cvs Module name:src Changes by: na...@cvs.openbsd.org 2019/05/09 15:09:37 Modified files: usr.sbin/sysupgrade: sysupgrade.8 sysupgrade.sh Log message: Add a -k flag to keep the files in /home/_sysupgrade, since they will be deleted after the upgrade by

CVS: cvs.openbsd.org: src

2019-05-09 Thread Christian Weisgerber
CVSROOT:/cvs Module name:src Changes by: na...@cvs.openbsd.org 2019/05/09 15:06:09 Modified files: distrib/miniroot: install.sub usr.sbin/sysupgrade: sysupgrade.sh Log message: sysupgrade already verifies all sets, so eliminate redundant verification by the

CVS: cvs.openbsd.org: src

2019-05-09 Thread Bob Beck
CVSROOT:/cvs Module name:src Changes by: b...@cvs.openbsd.org2019/05/09 14:36:44 Modified files: sys/kern : vfs_biomem.c sys/uvm: uvm_extern.h uvm_pdaemon.c uvm_pmemrange.c Log message: Ensure that pagedaemon wakeups as a result of failed

CVS: cvs.openbsd.org: src

2019-05-09 Thread Scott Soule Cheloha
CVSROOT:/cvs Module name:src Changes by: chel...@cvs.openbsd.org 2019/05/09 14:30:22 Modified files: sys/kern : kern_time.c syscalls.c syscalls.master init_sysent.c Log message: Unlock adjfreq(2), adjtime(2), clock_settime(2), and

CVS: cvs.openbsd.org: src

2019-05-09 Thread Marc Espie
CVSROOT:/cvs Module name:src Changes by: es...@cvs.openbsd.org 2019/05/09 14:26:33 Modified files: regress/usr.sbin/pkg_add: check-sig Log message: compare now wants a state object, so make it. noticed by bluhm@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Ted Unangst
CVSROOT:/cvs Module name:src Changes by: t...@cvs.openbsd.org2019/05/09 14:19:23 Modified files: games/battlestar: com1.c com4.c com6.c cypher.c extern.h globals.c init.c Log message: rm lists of hereditary wizards and bad users to ensure

CVS: cvs.openbsd.org: src

2019-05-09 Thread Jonathan Gray
CVSROOT:/cvs Module name:src Changes by: j...@cvs.openbsd.org2019/05/09 13:56:18 Modified files: sys/dev/pci/drm/i915: intel_gtt.c Log message: set up IFP using the gen3 path on pineview Avoids crashes on boot with pineview on chipset flush due to IFP not being

CVS: cvs.openbsd.org: src

2019-05-09 Thread Scott Soule Cheloha
CVSROOT:/cvs Module name:src Changes by: chel...@cvs.openbsd.org 2019/05/09 12:29:25 Modified files: sys/dev/acpi : acpisbs.c Log message: Measure poll interval with monotonic clock. ok jcs@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Kenneth R Westerback
CVSROOT:/cvs Module name:src Changes by: k...@cvs.openbsd.org2019/05/09 12:19:28 Modified files: distrib/miniroot: install.sub Log message: Stop tromping over the saved interface configuration when invalid address or netmask/prefixlen values are entered. Keeps the

CVS: cvs.openbsd.org: www

2019-05-09 Thread T . J . Townsend
CVSROOT:/cvs Module name:www Changes by: t...@cvs.openbsd.org2019/05/09 11:52:04 Modified files: build : mirrors.dat Log message: add upstream syncing info for ftp2.fr

CVS: cvs.openbsd.org: src

2019-05-09 Thread Sebastien Marie
CVSROOT:/cvs Module name:src Changes by: sema...@cvs.openbsd.org 2019/05/09 11:38:23 Modified files: sys/dev/isa: isa.c Log message: add free sizes ok tedu@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Kenneth R Westerback
CVSROOT:/cvs Module name:src Changes by: k...@cvs.openbsd.org2019/05/09 11:32:07 Modified files: distrib/miniroot: install.sub Log message: Shuffle code to make v4_config() and v6_config() look even more like each other.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Kenneth R Westerback
CVSROOT:/cvs Module name:src Changes by: k...@cvs.openbsd.org2019/05/09 10:59:25 Modified files: distrib/miniroot: install.sub Log message: Shuffle code a bit to consistently call 'ifconfig $_if [-inet|-inet6]' to completely clear existing configurations after

CVS: cvs.openbsd.org: src

2019-05-09 Thread Stefan Sperling
CVSROOT:/cvs Module name:src Changes by: s...@cvs.openbsd.org2019/05/09 10:14:14 Modified files: sys/dev/pci: if_iwm.c Log message: Correctly mask status bits in iwm's ADD_STA command response; remaining bits are used by firmware to return the BAID for a BA

CVS: cvs.openbsd.org: src

2019-05-09 Thread Stefan Sperling
CVSROOT:/cvs Module name:src Changes by: s...@cvs.openbsd.org2019/05/09 10:13:34 Modified files: sys/dev/pci: if_iwm.c Log message: Add two sanity checks to iwm's firmware notification interrupt handler: 1) Clamp firmware-provided index into the rx ring to the

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexander Bluhm
CVSROOT:/cvs Module name:src Changes by: bl...@cvs.openbsd.org 2019/05/09 09:58:18 Modified files: regress/sys/netinet6: Makefile Log message: Link rip6cksum regress to build.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexander Bluhm
CVSROOT:/cvs Module name:src Changes by: bl...@cvs.openbsd.org 2019/05/09 09:54:31 Log message: Test IPv6 raw sockets with checksum calculation in kernel. Status: Vendor Tag: bluhm Release Tags: bluhm_20190509 N

CVS: cvs.openbsd.org: src

2019-05-09 Thread Theo de Raadt
CVSROOT:/cvs Module name:src Changes by: dera...@cvs.openbsd.org 2019/05/09 09:35:19 Modified files: sys/ufs/ufs: ufs_lookup.c Log message: Nope, the right byte layout is happening, but we still need to figure out a reported baddir panic. Discussed with guenther

CVS: cvs.openbsd.org: src

2019-05-09 Thread Bob Beck
CVSROOT:/cvs Module name:src Changes by: b...@cvs.openbsd.org2019/05/09 09:09:40 Modified files: sys/kern : vfs_bio.c Log message: Don't unconditionally throw away dma memory when we don't need to. Noticed by me and otto@ ok tedu@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Claudio Jeker
CVSROOT:/cvs Module name:src Changes by: clau...@cvs.openbsd.org 2019/05/09 09:05:47 Modified files: lib/libc/sys : sysctl.2 Log message: Document KERN_PFSTATUS

CVS: cvs.openbsd.org: src

2019-05-09 Thread Claudio Jeker
CVSROOT:/cvs Module name:src Changes by: clau...@cvs.openbsd.org 2019/05/09 09:01:09 Modified files: usr.bin/systat : pf.c Log message: Use the new sysctl to print systat pf 1. This now works even for unprived users. OK deraadt@, sashan@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Claudio Jeker
CVSROOT:/cvs Module name:src Changes by: clau...@cvs.openbsd.org 2019/05/09 08:59:30 Modified files: sys/kern : kern_sysctl.c sys/net: pf_ioctl.c sys/sys: sysctl.h Log message: Add a sysctl accessor to struct pf_status. The

CVS: cvs.openbsd.org: src

2019-05-09 Thread Theo de Raadt
CVSROOT:/cvs Module name:src Changes by: dera...@cvs.openbsd.org 2019/05/09 08:53:18 Modified files: sys/ufs/ufs: ufs_lookup.c Log message: For filenames which are a multiple of 4 bytes long, the zero pad is incorrectly placed underneath the last 4 bytes (and then

CVS: cvs.openbsd.org: src

2019-05-09 Thread Christian Weisgerber
CVSROOT:/cvs Module name:src Changes by: na...@cvs.openbsd.org 2019/05/09 08:51:34 Modified files: usr.bin/ftp: ftp.1 Log message: ftp makes a new connection for each auto-fetch file. Remove a lie claiming otherwise. ok tb@ tedu@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Brent Cook
CVSROOT:/cvs Module name:src Changes by: bc...@cvs.openbsd.org 2019/05/09 08:50:46 Modified files: sys/dev/pci: azalia.c Log message: add free sizes ok tedu

CVS: cvs.openbsd.org: src

2019-05-09 Thread Peter Hessler
CVSROOT:/cvs Module name:src Changes by: phess...@cvs.openbsd.org2019/05/09 08:29:30 Modified files: sys/net: bfd.c Log message: we don't need to check rtisvalid() in these places, the functions we are protecing will do the right thing OK claudio@

CVS: cvs.openbsd.org: src

2019-05-09 Thread Nicholas Marriott
CVSROOT:/cvs Module name:src Changes by: n...@cvs.openbsd.org2019/05/09 08:09:32 Modified files: usr.bin/tmux : tmux.h tty-keys.c Log message: Save mouse buttons as well as position.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Ted Unangst
CVSROOT:/cvs Module name:src Changes by: t...@cvs.openbsd.org2019/05/09 08:09:01 Modified files: sys/kern : kern_malloc.c Log message: disable stack printing for now since at least arm64 can't print them reported by kettenis

CVS: cvs.openbsd.org: src

2019-05-09 Thread Nicholas Marriott
CVSROOT:/cvs Module name:src Changes by: n...@cvs.openbsd.org2019/05/09 07:12:59 Modified files: usr.bin/tmux : cmd-send-keys.c key-bindings.c tmux.h Log message: send-keys also needs to insert key commands in the right order.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Kenji Aoyama
CVSROOT:/cvs Module name:src Changes by: aoy...@cvs.openbsd.org 2019/05/09 06:50:03 Modified files: sys/arch/luna88k/stand/boot: Makefile Log message: Eliminate 'make clean' stops with 'rmdir: .: Invalid argument'.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Marc Espie
CVSROOT:/cvs Module name:src Changes by: es...@cvs.openbsd.org 2019/05/09 05:09:18 Modified files: share/man/man1 : dpb.1 Log message: actual timeout is smaller. document LISTING_EXTRA

CVS: cvs.openbsd.org: src

2019-05-09 Thread Ingo Schwarze
CVSROOT:/cvs Module name:src Changes by: schwa...@cvs.openbsd.org2019/05/09 04:53:59 Modified files: usr.bin/less : charset.h Log message: delete five unused macros

CVS: cvs.openbsd.org: src

2019-05-09 Thread Ingo Schwarze
CVSROOT:/cvs Module name:src Changes by: schwa...@cvs.openbsd.org2019/05/09 04:35:59 Modified files: usr.bin/less : line.c Log message: UTF-8 cleanup in the function pshift(). Use the standard functions mbtowc(3), wcwidth(3), iscntrl(3) instead of bad functions

CVS: cvs.openbsd.org: src

2019-05-09 Thread Marc Espie
CVSROOT:/cvs Module name:src Changes by: es...@cvs.openbsd.org 2019/05/09 03:43:28 Modified files: share/man/man1 : register-plist.1 Log message: be more thorough in describing what register-plist does. also document -p, used by check-register ... and @version bumps.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Nicholas Marriott
CVSROOT:/cvs Module name:src Changes by: n...@cvs.openbsd.org2019/05/09 02:38:13 Modified files: usr.bin/tmux : format.c tmux.1 Log message: Add formats to show if pane is the marked pane and if any marked pane is set.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Nicholas Marriott
CVSROOT:/cvs Module name:src Changes by: n...@cvs.openbsd.org2019/05/09 02:39:09 Modified files: usr.bin/tmux : cmd-swap-window.c Log message: Change swap-window -d to be the other way round (stay with src window), so it works like swap-pane.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexandre Ratchov
CVSROOT:/cvs Module name:src Changes by: ratc...@cvs.openbsd.org 2019/05/09 01:09:05 Modified files: sys/dev/usb: uaudio.c Log message: When changing device rate, send request to the clock source unit. Currently we send the request to the unit indicated as clock

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexandre Ratchov
CVSROOT:/cvs Module name:src Changes by: ratc...@cvs.openbsd.org 2019/05/09 01:00:38 Modified files: sys/dev/usb: uaudio.c Log message: Set the rate of UAC v2.0 clock units using the control interface, not a random streaming iface.

CVS: cvs.openbsd.org: src

2019-05-09 Thread Alexandre Ratchov
CVSROOT:/cvs Module name:src Changes by: ratc...@cvs.openbsd.org 2019/05/09 00:58:13 Modified files: sys/dev/usb: uaudio.c Log message: Skip empty control interfaces when parsing descriptors. Even if having multiple control interface descriptors is not allowed by

CVS: cvs.openbsd.org: www

2019-05-09 Thread Anton Lindqvist
CVSROOT:/cvs Module name:www Changes by: an...@cvs.openbsd.org 2019/05/09 00:15:14 Modified files: . : plus.html Log message: correct description of recent lockf changes

CVS: cvs.openbsd.org: src

2019-05-09 Thread Kenneth R Westerback
CVSROOT:/cvs Module name:src Changes by: k...@cvs.openbsd.org2019/05/09 00:00:55 Modified files: distrib/miniroot: install.sub Log message: Don't forget about previous dhcp configuration attempts when restarting an install. Even if the original dhcp attempt did not