CVS commit: src/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 09:27:49 UTC 2023 Modified Files: src/usr.bin/indent: Makefile indent.h io.c lexi.c parse.c Added Files: src/usr.bin/indent: debug.c Log Message: indent: move debugging code to separate file No functional

CVS commit: src/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 09:27:49 UTC 2023 Modified Files: src/usr.bin/indent: Makefile indent.h io.c lexi.c parse.c Added Files: src/usr.bin/indent: debug.c Log Message: indent: move debugging code to separate file No functional

CVS commit: src/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 06:36:33 UTC 2023 Modified Files: src/usr.bin/indent: args.c Log Message: indent: don't try to read from the file '(null)/.indent.pro' To generate a diff of this commit: cvs rdiff -u -r1.73 -r1.74

CVS commit: src/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 06:36:33 UTC 2023 Modified Files: src/usr.bin/indent: args.c Log Message: indent: don't try to read from the file '(null)/.indent.pro' To generate a diff of this commit: cvs rdiff -u -r1.73 -r1.74

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 22:38:47 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h parse.c Log Message: indent: rename placeholder symbol for parser stack No functional change outside debug mode. To generate a diff of this

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 22:38:47 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h parse.c Log Message: indent: rename placeholder symbol for parser stack No functional change outside debug mode. To generate a diff of this

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 15:36:02 UTC 2023 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: remove code for parsing declarations without semicolon The statement from the comment that declarations do not need semicolons is

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 15:36:02 UTC 2023 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: remove code for parsing declarations without semicolon The statement from the comment that declarations do not need semicolons is

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 11:01:11 UTC 2023 Modified Files: src/usr.bin/indent: indent.1 Log Message: indent: sync manual page with recent changes To generate a diff of this commit: cvs rdiff -u -r1.30 -r1.31 src/usr.bin/indent/indent.1

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 11:01:11 UTC 2023 Modified Files: src/usr.bin/indent: indent.1 Log Message: indent: sync manual page with recent changes To generate a diff of this commit: cvs rdiff -u -r1.30 -r1.31 src/usr.bin/indent/indent.1

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 08:40:54 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c parse.c Log Message: indent: condense code for handling spaced expressions No functional change outside debug mode. To generate a diff

CVS commit: src/usr.bin/indent

2023-05-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 12 08:40:54 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c parse.c Log Message: indent: condense code for handling spaced expressions No functional change outside debug mode. To generate a diff

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 19:14:55 UTC 2023 Modified Files: src/usr.bin/indent: io.c Log Message: indent: clean up input buffer handling No functional change. To generate a diff of this commit: cvs rdiff -u -r1.153 -r1.154

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 19:14:55 UTC 2023 Modified Files: src/usr.bin/indent: io.c Log Message: indent: clean up input buffer handling No functional change. To generate a diff of this commit: cvs rdiff -u -r1.153 -r1.154

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 18:26:56 UTC 2023 Modified Files: src/usr.bin/indent: indent.h io.c Log Message: indent: remove unused code To generate a diff of this commit: cvs rdiff -u -r1.117 -r1.118 src/usr.bin/indent/indent.h cvs rdiff -u

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 18:26:56 UTC 2023 Modified Files: src/usr.bin/indent: indent.h io.c Log Message: indent: remove unused code To generate a diff of this commit: cvs rdiff -u -r1.117 -r1.118 src/usr.bin/indent/indent.h cvs rdiff -u

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 11:25:47 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: move parser state variables to the parser_state struct Include the variables in the debug output. To generate a

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 11:25:47 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: move parser state variables to the parser_state struct Include the variables in the debug output. To generate a

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 10:54:14 UTC 2023 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: eliminate a local variable for else-if handling No functional change intended. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 10:54:14 UTC 2023 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: eliminate a local variable for else-if handling No functional change intended. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 10:51:34 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: move force_nl into the parser state This way, it is included in the debug output. No functional change. To

CVS commit: src/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 10:51:34 UTC 2023 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: move force_nl into the parser state This way, it is included in the debug output. No functional change. To

CVS commit: src/usr.bin/indent

2023-01-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jan 20 00:24:25 UTC 2023 Modified Files: src/usr.bin/indent: args.c Log Message: indent: fix misleading comment To generate a diff of this commit: cvs rdiff -u -r1.72 -r1.73 src/usr.bin/indent/args.c Please note that diffs

CVS commit: src/usr.bin/indent

2023-01-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jan 20 00:24:25 UTC 2023 Modified Files: src/usr.bin/indent: args.c Log Message: indent: fix misleading comment To generate a diff of this commit: cvs rdiff -u -r1.72 -r1.73 src/usr.bin/indent/args.c Please note that diffs

CVS commit: src/usr.bin/indent

2022-05-09 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 9 21:41:49 UTC 2022 Modified Files: src/usr.bin/indent: indent.c pr_comment.c Log Message: indent: clean up control flow, remove Capsicum No functional change. To generate a diff of this commit: cvs rdiff -u -r1.244

CVS commit: src/usr.bin/indent

2022-05-09 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 9 21:41:49 UTC 2022 Modified Files: src/usr.bin/indent: indent.c pr_comment.c Log Message: indent: clean up control flow, remove Capsicum No functional change. To generate a diff of this commit: cvs rdiff -u -r1.244

CVS commit: src/usr.bin/indent

2022-04-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Apr 23 06:32:20 UTC 2022 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: remove Capsicum support NetBSD doesn't have Capsicum. To generate a diff of this commit: cvs rdiff -u -r1.242 -r1.243

CVS commit: src/usr.bin/indent

2022-04-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Apr 23 06:32:20 UTC 2022 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: remove Capsicum support NetBSD doesn't have Capsicum. To generate a diff of this commit: cvs rdiff -u -r1.242 -r1.243

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:48:12 UTC 2022 Modified Files: src/usr.bin/indent: io.c Log Message: indent: consistently use nparen for indexing parser_state.paren No binary change. To generate a diff of this commit: cvs rdiff -u -r1.146

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:48:12 UTC 2022 Modified Files: src/usr.bin/indent: io.c Log Message: indent: consistently use nparen for indexing parser_state.paren No binary change. To generate a diff of this commit: cvs rdiff -u -r1.146

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:43:26 UTC 2022 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: rename parser_state.p_l_follow and paren_level The previous variable names were misleading. Paren_level is not

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:43:26 UTC 2022 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: rename parser_state.p_l_follow and paren_level The previous variable names were misleading. Paren_level is not

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:20:10 UTC 2022 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: replace bitmasking code with struct The struct directly represents the properties of a pair of parentheses,

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:20:10 UTC 2022 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: replace bitmasking code with struct The struct directly represents the properties of a pair of parentheses,

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:09:19 UTC 2022 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: change parser_state.cast_mask to 0-based indexing Having 1-based indexing was completely unexpected, and it didn't

CVS commit: src/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:09:19 UTC 2022 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: change parser_state.cast_mask to 0-based indexing Having 1-based indexing was completely unexpected, and it didn't

CVS commit: src/usr.bin/indent

2022-02-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Feb 12 15:50:14 UTC 2022 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: extend debug logging for the parser state The member names in struct parser_state are not trustworthy, for example in_decl does not

CVS commit: src/usr.bin/indent

2022-02-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Feb 12 15:50:14 UTC 2022 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: extend debug logging for the parser state The member names in struct parser_state are not trustworthy, for example in_decl does not

CVS commit: src/usr.bin/indent

2021-11-28 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Nov 28 11:49:11 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c Log Message: indent: clean up and document input handling The transformation of moving comments from after an 'if (expr)' after the

CVS commit: src/usr.bin/indent

2021-11-28 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Nov 28 11:49:11 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c Log Message: indent: clean up and document input handling The transformation of moving comments from after an 'if (expr)' after the

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 21:23:23 UTC 2021 Modified Files: src/usr.bin/indent: README.md Log Message: indent: reword README To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2 src/usr.bin/indent/README.md Please note that diffs are

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 21:23:23 UTC 2021 Modified Files: src/usr.bin/indent: README.md Log Message: indent: reword README To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2 src/usr.bin/indent/README.md Please note that diffs are

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 21:15:58 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c Log Message: indent: accept a few formatting suggestions from indent The remaining issues are still that the conditions look ambiguous even

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 21:15:58 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c Log Message: indent: accept a few formatting suggestions from indent The remaining issues are still that the conditions look ambiguous even

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 20:58:16 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: illustrate probably_looking_at_definition with examples No functional change. To generate a diff of this commit: cvs rdiff -u -r1.165

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 20:58:16 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: illustrate probably_looking_at_definition with examples No functional change. To generate a diff of this commit: cvs rdiff -u -r1.165

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 18:29:29 UTC 2021 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: inline switch_buffer The function name was not accurate all the time. Now that inp_from_comment is a separate function, it doesn't

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 18:29:29 UTC 2021 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: inline switch_buffer The function name was not accurate all the time. Now that inp_from_comment is a separate function, it doesn't

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 18:26:48 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: add assertions for input handling Just to document the invariants; the code is already OK. To generate a diff of this commit: cvs rdiff

CVS commit: src/usr.bin/indent

2021-11-27 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Nov 27 18:26:48 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: add assertions for input handling Just to document the invariants; the code is already OK. To generate a diff of this commit: cvs rdiff

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 15:21:38 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: enhance debug logging for input handling To generate a diff of this commit: cvs rdiff -u -r1.138 -r1.139 src/usr.bin/indent/io.c Please

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 15:21:38 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: enhance debug logging for input handling To generate a diff of this commit: cvs rdiff -u -r1.138 -r1.139 src/usr.bin/indent/io.c Please

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 15:18:19 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h pr_comment.c Log Message: indent: add buf_add_range for adding characters to a buffer No functional change. To generate a diff of this commit:

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 15:18:19 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h pr_comment.c Log Message: indent: add buf_add_range for adding characters to a buffer No functional change. To generate a diff of this commit:

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 15:08:48 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: replace inp_enlarge with inp_add Previously, inbuf.inp.s was only updated at the very end of reading a line from the input file, which

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 15:08:48 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: replace inp_enlarge with inp_add Previously, inbuf.inp.s was only updated at the very end of reading a line from the input file, which

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 14:48:03 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: split inp_read_line into smaller functions No functional change. To generate a diff of this commit: cvs rdiff -u -r1.136 -r1.137

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 14:48:03 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: split inp_read_line into smaller functions No functional change. To generate a diff of this commit: cvs rdiff -u -r1.136 -r1.137

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 14:33:13 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: extract inp_from_file from inp_read_line No functional change. To generate a diff of this commit: cvs rdiff -u -r1.135 -r1.136

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 14:33:13 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: extract inp_from_file from inp_read_line No functional change. To generate a diff of this commit: cvs rdiff -u -r1.135 -r1.136

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 14:17:01 UTC 2021 Modified Files: src/usr.bin/indent: indent.c io.c Log Message: indent: move ind_add from io.c to indent.c It's a general-purpose function that is not directly related to input or output. To

CVS commit: src/usr.bin/indent

2021-11-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 26 14:17:01 UTC 2021 Modified Files: src/usr.bin/indent: indent.c io.c Log Message: indent: move ind_add from io.c to indent.c It's a general-purpose function that is not directly related to input or output. To

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 21:59:40 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: prevent undefined behavior in inp_line_start No functional change. To generate a diff of this commit: cvs rdiff -u -r1.132 -r1.133

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 21:59:40 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: prevent undefined behavior in inp_line_start No functional change. To generate a diff of this commit: cvs rdiff -u -r1.132 -r1.133

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 21:01:32 UTC 2021 Modified Files: src/usr.bin/indent: pr_comment.c Log Message: indent: make fits_in_one_line independent of ps This makes it easier to understand the function. Having the dependency to the input line

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 21:01:32 UTC 2021 Modified Files: src/usr.bin/indent: pr_comment.c Log Message: indent: make fits_in_one_line independent of ps This makes it easier to understand the function. Having the dependency to the input line

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 20:00:31 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: update cross-reference comments for bug in comment handling The function was renamed in io.c 1.122 from 2021-11-19. To generate a diff

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 20:00:31 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: update cross-reference comments for bug in comment handling The function was renamed in io.c 1.122 from 2021-11-19. To generate a diff

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 18:48:38 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: rename ps.in_function_parameters to match reality This flag is only set while parsing the parameters of a function

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 18:48:38 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h lexi.c Log Message: indent: rename ps.in_function_parameters to match reality This flag is only set while parsing the parameters of a function

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 17:50:01 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: eliminate 3 negations in tokenizer No functional change. To generate a diff of this commit: cvs rdiff -u -r1.161 -r1.162

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 17:50:01 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: eliminate 3 negations in tokenizer No functional change. To generate a diff of this commit: cvs rdiff -u -r1.161 -r1.162

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 17:35:46 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: condense code for building tokens from characters No functional change. To generate a diff of this commit: cvs rdiff -u -r1.159

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 17:35:46 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: condense code for building tokens from characters No functional change. To generate a diff of this commit: cvs rdiff -u -r1.159

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 17:28:13 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: in lexi, assign lsym and next_unary in consistent order No functional change. To generate a diff of this commit: cvs rdiff -u -r1.158

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 17:28:13 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: in lexi, assign lsym and next_unary in consistent order No functional change. To generate a diff of this commit: cvs rdiff -u -r1.158

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 16:09:02 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: extract probably_looking_at_definition to separate function This heuristic guesses wrong in many cases and will some cleanups. No

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 16:09:02 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: extract probably_looking_at_definition to separate function This heuristic guesses wrong in many cases and will some cleanups. No

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 08:08:29 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: merge duplicate code for parsing 'struct s *' No functional change. To generate a diff of this commit: cvs rdiff -u -r1.153 -r1.154

CVS commit: src/usr.bin/indent

2021-11-25 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 08:08:29 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: merge duplicate code for parsing 'struct s *' No functional change. To generate a diff of this commit: cvs rdiff -u -r1.153 -r1.154

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:47:55 UTC 2021 Modified Files: src/usr.bin/indent: indent.h Log Message: indent: fix comment for ps.in_decl In C, there are no declaration statements. There are declarations and statements, but no combination

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:47:55 UTC 2021 Modified Files: src/usr.bin/indent: indent.h Log Message: indent: fix comment for ps.in_decl In C, there are no declaration statements. There are declarations and statements, but no combination

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:45:32 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: rename ps.in_stmt to in_stmt_or_decl The previous name didn't match reality. No functional change. To

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:45:32 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: rename ps.in_stmt to in_stmt_or_decl The previous name didn't match reality. No functional change. To

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:41:13 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: rename ps.ind_stmt to in_stmt_cont This makes a comment redundant. No functional change. To generate a diff

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:41:13 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: rename ps.ind_stmt to in_stmt_cont This makes a comment redundant. No functional change. To generate a diff

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:30:54 UTC 2021 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: clean up style No functional change. To generate a diff of this commit: cvs rdiff -u -r1.228 -r1.229 src/usr.bin/indent/indent.c

CVS commit: src/usr.bin/indent

2021-11-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Nov 25 07:30:54 UTC 2021 Modified Files: src/usr.bin/indent: indent.c Log Message: indent: clean up style No functional change. To generate a diff of this commit: cvs rdiff -u -r1.228 -r1.229 src/usr.bin/indent/indent.c

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:23:17 UTC 2021 Modified Files: src/usr.bin/indent: args.c indent.c indent.h io.c lexi.c pr_comment.c Log Message: indent: reduce casts to unsigned char for character classification No functional change. To

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:23:17 UTC 2021 Modified Files: src/usr.bin/indent: args.c indent.c indent.h io.c lexi.c pr_comment.c Log Message: indent: reduce casts to unsigned char for character classification No functional change. To

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:13:05 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: keep inbuf.save_com_s and inbuf.save_com_e in sync No functional change. To generate a diff of this commit: cvs rdiff -u -r1.127

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:13:05 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: keep inbuf.save_com_s and inbuf.save_com_e in sync No functional change. To generate a diff of this commit: cvs rdiff -u -r1.127

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:04:02 UTC 2021 Modified Files: src/usr.bin/indent: indent.c io.c Log Message: indent: fix included headers To generate a diff of this commit: cvs rdiff -u -r1.226 -r1.227 src/usr.bin/indent/indent.c cvs rdiff -u

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:04:02 UTC 2021 Modified Files: src/usr.bin/indent: indent.c io.c Log Message: indent: fix included headers To generate a diff of this commit: cvs rdiff -u -r1.226 -r1.227 src/usr.bin/indent/indent.c cvs rdiff -u

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:01:37 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: clean up io.c No functional change. To generate a diff of this commit: cvs rdiff -u -r1.125 -r1.126 src/usr.bin/indent/io.c Please

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 20:01:37 UTC 2021 Modified Files: src/usr.bin/indent: io.c Log Message: indent: clean up io.c No functional change. To generate a diff of this commit: cvs rdiff -u -r1.125 -r1.126 src/usr.bin/indent/io.c Please

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 19:55:15 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: replace ps.procname with ps.is_function_definition Omly the first character of ps.procname was ever read, and it

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 19:55:15 UTC 2021 Modified Files: src/usr.bin/indent: indent.c indent.h io.c lexi.c Log Message: indent: replace ps.procname with ps.is_function_definition Omly the first character of ps.procname was ever read, and it

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 19:15:55 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: merge duplicate code into is_identifier_part No functional change. To generate a diff of this commit: cvs rdiff -u -r1.144 -r1.145

CVS commit: src/usr.bin/indent

2021-11-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Nov 19 19:15:55 UTC 2021 Modified Files: src/usr.bin/indent: lexi.c Log Message: indent: merge duplicate code into is_identifier_part No functional change. To generate a diff of this commit: cvs rdiff -u -r1.144 -r1.145

<    1   2   3   4   5   6   7   8   9   >