CVS commit: src/sys/uvm

2010-08-15 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Mon Aug 16 01:21:10 UTC 2010 Modified Files: src/sys/uvm: uvm_mremap.c Log Message: sys_mremap: unwrap a short line To generate a diff of this commit: cvs rdiff -u -r1.15 -r1.16 src/sys/uvm/uvm_mremap.c Please note that diffs

CVS commit: src/sys/uvm

2010-07-09 Thread Juergen Hannken-Illjes
Module Name:src Committed By: hannken Date: Fri Jul 9 08:13:34 UTC 2010 Modified Files: src/sys/uvm: uvm_swap.c Log Message: Replace vget() with vref()/vn_lock(), this node already has a reference. To generate a diff of this commit: cvs rdiff -u -r1.151 -r1.152

CVS commit: src/sys/uvm

2010-07-06 Thread Christoph Egger
Module Name:src Committed By: cegger Date: Tue Jul 6 21:11:22 UTC 2010 Modified Files: src/sys/uvm: uvm_pmap.h Log Message: Turn PMAP_NOCACHE into MI flag. Add MI flags PMAP_WRITE_COMBINE, PMAP_WRITE_BACK, PMAP_NOCACHE_OVR. Update pmap(9) manpage. hppa: Remove MD

CVS commit: src/sys/uvm

2010-07-06 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Wed Jul 7 01:08:51 UTC 2010 Modified Files: src/sys/uvm: uvm_stat.h Log Message: switch the UVMHIST counters from mutexes to atomic ops to avoid a bad interaction with DIAGNOSTIC. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/uvm

2010-06-22 Thread Mindaugas Rasiukevicius
Module Name:src Committed By: rmind Date: Tue Jun 22 18:34:50 UTC 2010 Modified Files: src/sys/uvm: uvm_bio.c uvm_fault.c uvm_map.c uvm_pager.c Log Message: Keep the lock around pmap_update() where required. While fixing this in ubc_fault(), rework logic to remember the

CVS commit: src/sys/uvm

2010-06-16 Thread matthew green
Module Name:src Committed By: mrg Date: Thu Jun 17 03:13:58 UTC 2010 Modified Files: src/sys/uvm: uvm_pglist.c Log Message: disable some DEBUG code uvm_pglist_add() that has severe performance problems with large mappings. i've seen my system hang for a total of 45

CVS commit: src/sys/uvm

2010-06-02 Thread Antti Kantee
Module Name:src Committed By: pooka Date: Wed Jun 2 15:48:49 UTC 2010 Modified Files: src/sys/uvm: uvm_pdaemon.c Log Message: it's a wonderful static To generate a diff of this commit: cvs rdiff -u -r1.100 -r1.101 src/sys/uvm/uvm_pdaemon.c Please note that diffs are

CVS commit: src/sys/uvm

2010-05-29 Thread Mindaugas Rasiukevicius
Module Name:src Committed By: rmind Date: Sat May 29 23:17:53 UTC 2010 Modified Files: src/sys/uvm: uvm_bio.c Log Message: ubc_fault: split-off code part handling a single page into ubc_fault_page(). To generate a diff of this commit: cvs rdiff -u -r1.68 -r1.69

CVS commit: src/sys/uvm

2010-05-28 Thread Mindaugas Rasiukevicius
Module Name:src Committed By: rmind Date: Fri May 28 23:41:15 UTC 2010 Modified Files: src/sys/uvm: uvm_aobj.c uvm_fault.c Log Message: uvm_fault_{upper,lower}_done: move drop-swap outside the page-queues lock. Assert for object lock being held (or ref count 0) in

CVS commit: src/sys/uvm

2010-02-24 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Feb 24 15:58:26 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Merge more indirect functions. Some comments. To generate a diff of this commit: cvs rdiff -u -r1.172 -r1.173 src/sys/uvm/uvm_fault.c Please

CVS commit: src/sys/uvm

2010-02-23 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Feb 24 04:18:09 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Merge some indirect lower fault handlers back. Prompted by rm...@. To generate a diff of this commit: cvs rdiff -u -r1.166 -r1.167

CVS commit: src/sys/uvm

2010-02-23 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Feb 24 04:20:45 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Record if promote is done in UVMHIST. Do it for upper fault too. To generate a diff of this commit: cvs rdiff -u -r1.167 -r1.168

CVS commit: src/sys/uvm

2010-02-23 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Feb 24 04:32:58 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Slightly clean up uvm_fault() code path after pmap_enter(). Now tasks needed for page cache are concentrated in own functions

CVS commit: src/sys/uvm

2010-02-23 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Feb 24 05:00:55 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Revert a thinko. To generate a diff of this commit: cvs rdiff -u -r1.169 -r1.170 src/sys/uvm/uvm_fault.c Please note that diffs are not

CVS commit: src/sys/uvm

2010-02-23 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Feb 24 05:26:28 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Minor clean up. To generate a diff of this commit: cvs rdiff -u -r1.170 -r1.171 src/sys/uvm/uvm_fault.c Please note that diffs are not public

CVS commit: src/sys/uvm

2010-02-23 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Feb 24 06:18:19 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault_upper_lookup, uvm_fault_upper_neighbor: There is no point to call pmap_update() without calling pmap_enter(). (Probably calling only

CVS commit: src/sys/uvm

2010-02-20 Thread Matthias Drochner
Module Name:src Committed By: drochner Date: Sat Feb 20 13:21:58 UTC 2010 Modified Files: src/sys/uvm: uvm_map.c Log Message: rename the new sysctl to vm.user_va0_disable, for consistency with the majority of existing sysctl flags, suggested by yamt To generate a diff

CVS commit: src/sys/uvm

2010-02-18 Thread Matthias Drochner
Module Name:src Committed By: drochner Date: Thu Feb 18 14:57:01 UTC 2010 Modified Files: src/sys/uvm: files.uvm uvm_map.c Log Message: Disable mapping of virtual address 0 by user programs per default. This blocks an easy exploit of kernel bugs leading to dereference of

CVS commit: src/sys/uvm

2010-02-07 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Feb 7 15:51:29 UTC 2010 Modified Files: src/sys/uvm: uvm_swap.c Log Message: Use filesystem blocks to address filesystem objects. f_iosize just happens to be the same for current filesystems. To generate a diff of this

CVS commit: src/sys/uvm

2010-02-07 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Feb 7 23:25:07 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Make UVMHIST build again. To generate a diff of this commit: cvs rdiff -u -r1.163 -r1.164 src/sys/uvm/uvm_fault.c Please note that diffs are

CVS commit: src/sys/uvm

2010-02-07 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Mon Feb 8 00:01:39 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Move assertion to make check more clear. To generate a diff of this commit: cvs rdiff -u -r1.164 -r1.165 src/sys/uvm/uvm_fault.c Please note

CVS commit: src/sys/uvm

2010-02-07 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Mon Feb 8 00:02:50 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: pgo_get needs the page array to be initialized. To generate a diff of this commit: cvs rdiff -u -r1.165 -r1.166 src/sys/uvm/uvm_fault.c Please

CVS commit: src/sys/uvm

2010-02-05 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sat Feb 6 02:56:17 UTC 2010 Modified Files: src/sys/uvm: uvm_page.h Log Message: Make vm_physseg lookup routines take the target vm_physseg. This is for the coming managed device segments. To generate a diff of this commit:

CVS commit: src/sys/uvm

2010-02-04 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Fri Feb 5 02:27:15 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Cosmetic. Shorten some long names. To generate a diff of this commit: cvs rdiff -u -r1.162 -r1.163 src/sys/uvm/uvm_fault.c Please note that

CVS commit: src/sys/uvm

2010-02-04 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Fri Feb 5 03:49:12 UTC 2010 Modified Files: src/sys/uvm: uvm_device.c Log Message: vnode.h is not used here. To generate a diff of this commit: cvs rdiff -u -r1.56 -r1.57 src/sys/uvm/uvm_device.c Please note that diffs are

CVS commit: src/sys/uvm

2010-02-03 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Thu Feb 4 03:19:08 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Move uvm_fault_* static func decls in one place. To generate a diff of this commit: cvs rdiff -u -r1.158 -r1.159 src/sys/uvm/uvm_fault.c

CVS commit: src/sys/uvm

2010-02-03 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Thu Feb 4 03:32:22 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Merge obfuscating layers for readability. Inline some functions. Requested by rm...@. To generate a diff of this commit: cvs rdiff -u -r1.159

CVS commit: src/sys/uvm

2010-02-02 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Tue Feb 2 17:40:43 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c uvm_loan.c uvm_loan.h Log Message: Don't pass an unnecessary reference to uvm_loanbreak_anon(). Requested by rm...@. To generate a diff of this commit:

CVS commit: src/sys/uvm

2010-02-02 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Tue Feb 2 18:49:23 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uobj-pgops-pgo_get doing PGO_SYNCIO returns a uobjpage whose uobj backpointer refers to another uobj used to call pgo_get. Revert the wrong

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Mon Feb 1 08:16:32 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Split uvm_fault() into 2 more functions, uvm_fault_check() and uvm_fault_upper_lookup(). Omit unnecessary arguments passed around. To

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Mon Feb 1 08:19:17 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Rewrite uvm_fault() loop using while () than goto. To generate a diff of this commit: cvs rdiff -u -r1.141 -r1.142 src/sys/uvm/uvm_fault.c

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Mon Feb 1 08:23:13 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Indent. To generate a diff of this commit: cvs rdiff -u -r1.142 -r1.143 src/sys/uvm/uvm_fault.c Please note that diffs are not public domain;

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Mon Feb 1 09:06:43 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault: - Lower fault routines don't care the vm_anon array found in upper lookup. Don't pass the pointer down. - The flag shadowed is

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Mon Feb 1 09:18:41 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault_upper_lookup: This is totally my personal preference, but can't help adding one goto to reduce one indent. To generate a diff of

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Mon Feb 1 16:08:27 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: More split. To generate a diff of this commit: cvs rdiff -u -r1.147 -r1.148 src/sys/uvm/uvm_fault.c Please note that diffs are not public

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Tue Feb 2 01:54:48 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Sort struct uvm_faultctx members for better alignment. To generate a diff of this commit: cvs rdiff -u -r1.149 -r1.150 src/sys/uvm/uvm_fault.c

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Tue Feb 2 04:35:35 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault: Split neighbor fault and loan handling into functions. To generate a diff of this commit: cvs rdiff -u -r1.150 -r1.151

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Tue Feb 2 05:58:16 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Indent. To generate a diff of this commit: cvs rdiff -u -r1.151 -r1.152 src/sys/uvm/uvm_fault.c Please note that diffs are not public domain;

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Tue Feb 2 06:06:02 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c uvm_loan.c uvm_loan.h Log Message: Move A-K loan break code to uvm_loan.c. To generate a diff of this commit: cvs rdiff -u -r1.152 -r1.153

CVS commit: src/sys/uvm

2010-02-01 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Tue Feb 2 06:52:59 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Be consistent to decide if PMAP_WIRED or not. To generate a diff of this commit: cvs rdiff -u -r1.153 -r1.154 src/sys/uvm/uvm_fault.c Please

CVS commit: src/sys/uvm

2010-01-31 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Mon Feb 1 06:56:22 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault: Pack variables shared during fault / re-fault into a struct named uvm_faultctx. Unfortunately ~all of those values are overriden in

CVS commit: src/sys/uvm

2010-01-30 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sat Jan 30 15:13:25 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Calculate the offset from vm_map_entry's start to vm_page array's start once. To generate a diff of this commit: cvs rdiff -u -r1.130 -r1.131

CVS commit: src/sys/uvm

2010-01-30 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sun Jan 31 01:40:13 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Correct previous; fix a miscalculation of offset-into-entry in MADV_SEQUENTIAL case. Pointed out by po...@. To generate a diff of this

CVS commit: src/sys/uvm

2010-01-30 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sun Jan 31 07:32:35 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault_internal: Put a goto label Case1 as well as Case2. Clarify that if the faulting page is shadowed, we don't care the lower layer at

CVS commit: src/sys/uvm

2010-01-30 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sun Jan 31 07:37:24 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault_internal: Skip another long code segment (lower neighbor fault) by a goto. To generate a diff of this commit: cvs rdiff -u -r1.133

CVS commit: src/sys/uvm

2010-01-30 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sun Jan 31 07:46:03 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: uvm_fault_internal: In lower fault handling case, put another goto to clarify that we don't care lower neighboring pages for the zero-fill

CVS commit: src/sys/uvm

2010-01-30 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sun Jan 31 07:47:29 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Indent. To generate a diff of this commit: cvs rdiff -u -r1.135 -r1.136 src/sys/uvm/uvm_fault.c Please note that diffs are not public domain;

CVS commit: src/sys/uvm

2010-01-26 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Wed Jan 27 03:56:33 UTC 2010 Modified Files: src/sys/uvm: uvm_page.c Log Message: uvm_pageinsert, uvm_pageremove: Pass the uboj, to/from which a pg is inserted/removed, as an argument, because looking up a back-reference from

CVS commit: src/sys/uvm

2010-01-24 Thread Masao Uebayashi
Module Name:src Committed By: uebayasi Date: Sun Jan 24 15:03:02 UTC 2010 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Clean up an internal flag usage. No functional changes. To generate a diff of this commit: cvs rdiff -u -r1.129 -r1.130

CVS commit: src/sys/uvm

2009-12-14 Thread Matt Thomas
Module Name:src Committed By: matt Date: Mon Dec 14 21:19:47 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: Use PRIxVADDR ... To generate a diff of this commit: cvs rdiff -u -r1.284 -r1.285 src/sys/uvm/uvm_map.c Please note that diffs are not public

CVS commit: src/sys/uvm

2009-12-14 Thread Matt Thomas
Module Name:src Committed By: matt Date: Tue Dec 15 06:15:11 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c uvm_unix.c Log Message: Use PRIxVADDR... (change a printf/panic - panic) To generate a diff of this commit: cvs rdiff -u -r1.285 -r1.286 src/sys/uvm/uvm_map.c

CVS commit: src/sys/uvm

2009-11-27 Thread Nicolas Joly
Module Name:src Committed By: njoly Date: Fri Nov 27 12:25:10 UTC 2009 Modified Files: src/sys/uvm: uvm_unix.c Log Message: Make break(2) reject high adresses that wrap to 0 after page rounding. To generate a diff of this commit: cvs rdiff -u -r1.41 -r1.42

CVS commit: src/sys/uvm

2009-11-21 Thread Mindaugas Rasiukevicius
Module Name:src Committed By: rmind Date: Sat Nov 21 17:45:02 UTC 2009 Modified Files: src/sys/uvm: uvm_extern.h uvm_glue.c Log Message: Add uvm_lwp_getuarea() and uvm_lwp_setuarea(). OK m...@. To generate a diff of this commit: cvs rdiff -u -r1.160 -r1.161

CVS commit: src/sys/uvm

2009-09-06 Thread Mindaugas Rasiukevicius
Module Name:src Committed By: rmind Date: Sun Sep 6 23:14:20 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: uvmspace_unshare: #if 0-out this function. Q: perhaps remove? AFAIK it was not used for 11 years. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/uvm

2009-08-18 Thread Jason R Thorpe
Module Name:src Committed By: thorpej Date: Tue Aug 18 19:08:39 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c uvm_page.c Added Files: src/sys/uvm: uvm_page_impl.h Log Message: Move uvm_page-related DDB hooks into uvm_page.c. To generate a diff of this commit:

CVS commit: src/sys/uvm

2009-08-18 Thread Matt Thomas
Module Name:src Committed By: matt Date: Wed Aug 19 04:53:21 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: In uvm_kmapent_alloc, Make sure entry is initialized. Spotted by msaitoh. To generate a diff of this commit: cvs rdiff -u -r1.280 -r1.281

CVS commit: src/sys/uvm

2009-08-17 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Tue Aug 18 02:41:31 UTC 2009 Modified Files: src/sys/uvm: uvm_mmap.c Log Message: uvm_mmap: remove a dead conditional. To generate a diff of this commit: cvs rdiff -u -r1.130 -r1.131 src/sys/uvm/uvm_mmap.c Please note that diffs

CVS commit: src/sys/uvm

2009-08-17 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Tue Aug 18 02:43:49 UTC 2009 Modified Files: src/sys/uvm: uvm_extern.h uvm_pdaemon.c Log Message: whitespace fixes. no functional changes. To generate a diff of this commit: cvs rdiff -u -r1.158 -r1.159 src/sys/uvm/uvm_extern.h

CVS commit: src/sys/uvm

2009-08-16 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sun Aug 16 11:06:37 UTC 2009 Modified Files: src/sys/uvm: uvm_amap.c Log Message: assertions To generate a diff of this commit: cvs rdiff -u -r1.86 -r1.87 src/sys/uvm/uvm_amap.c Please note that diffs are not public domain; they

CVS commit: src/sys/uvm

2009-08-12 Thread Matt Thomas
Module Name:src Committed By: matt Date: Thu Aug 13 03:21:03 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: Fix KASSERT() failure reported by Geoff Wing. To generate a diff of this commit: cvs rdiff -u -r1.277 -r1.278 src/sys/uvm/uvm_map.c Please note

CVS commit: src/sys/uvm

2009-08-11 Thread Matt Thomas
Module Name:src Committed By: matt Date: Tue Aug 11 16:07:24 UTC 2009 Modified Files: src/sys/uvm: uvm_page.c Log Message: Add back declaration of physmem but use the existing type (long). To generate a diff of this commit: cvs rdiff -u -r1.147 -r1.148

CVS commit: src/sys/uvm

2009-08-11 Thread Matt Thomas
Module Name:src Committed By: matt Date: Tue Aug 11 16:27:08 UTC 2009 Modified Files: src/sys/uvm: uvm_page.c Log Message: Fix brain fart. physmem was int not long. To generate a diff of this commit: cvs rdiff -u -r1.148 -r1.149 src/sys/uvm/uvm_page.c Please note that

CVS commit: src/sys/uvm

2009-08-10 Thread Matt Thomas
Module Name:src Committed By: matt Date: Mon Aug 10 16:49:30 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: Compare vaddr_t against 0, not NULL. To generate a diff of this commit: cvs rdiff -u -r1.276 -r1.277 src/sys/uvm/uvm_map.c Please note that diffs

CVS commit: src/sys/uvm

2009-08-10 Thread Matt Thomas
Module Name:src Committed By: matt Date: Mon Aug 10 16:50:18 UTC 2009 Modified Files: src/sys/uvm: uvm_glue.c Log Message: Revent change to printf. (why can't __func__ concat with other string?) To generate a diff of this commit: cvs rdiff -u -r1.139 -r1.140

CVS commit: src/sys/uvm

2009-08-10 Thread Adam Hamsik
Module Name:src Committed By: haad Date: Mon Aug 10 23:17:29 UTC 2009 Modified Files: src/sys/uvm: uvm_extern.h uvm_page.c uvm_pdaemon.c Log Message: Add uvm_reclaim_hooks support for reclaiming kernel KVA space and memory. This is used only by zfs where uvm_reclaim hook

CVS commit: src/sys/uvm

2009-08-09 Thread Matt Thomas
Module Name:src Committed By: matt Date: Sun Aug 9 22:13:07 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: If PMAP_MAP_POOLPAGE is defined, use it to map kernel map entries. This avoids TLB pollution on those platforms that define it. To generate a diff

CVS commit: src/sys/uvm

2009-08-09 Thread Matt Thomas
Module Name:src Committed By: matt Date: Sun Aug 9 22:19:10 UTC 2009 Modified Files: src/sys/uvm: uvm_glue.c Log Message: Only swapout uareas if VMSWAP_UAREA is defined (which is should be by default). If it's not defined and PMAP_MAP_POOLPAGE is defined and USPACE ==

CVS commit: src/sys/uvm

2009-08-05 Thread Antti Kantee
Module Name:src Committed By: pooka Date: Wed Aug 5 14:10:34 UTC 2009 Modified Files: src/sys/uvm: uvm_extern.h Log Message: add some advice symbols we'll eventually need To generate a diff of this commit: cvs rdiff -u -r1.155 -r1.156 src/sys/uvm/uvm_extern.h Please

CVS commit: src/sys/uvm

2009-08-05 Thread Antti Kantee
Module Name:src Committed By: pooka Date: Wed Aug 5 14:11:33 UTC 2009 Modified Files: src/sys/uvm: uvm_extern.h uvm_pager.c Log Message: kill uvm_aio_biodone1(). only user was lfs and that uses nestiobuf now. To generate a diff of this commit: cvs rdiff -u -r1.156

CVS commit: src/sys/uvm

2009-08-04 Thread Antti Kantee
Module Name:src Committed By: pooka Date: Tue Aug 4 23:03:01 UTC 2009 Modified Files: src/sys/uvm: uvm_vnode.c Log Message: kernel opt polish: g/c unnecessary fs_nfs.h and opt_ddb.h To generate a diff of this commit: cvs rdiff -u -r1.90 -r1.91 src/sys/uvm/uvm_vnode.c

CVS commit: src/sys/uvm

2009-08-04 Thread Antti Kantee
Module Name:src Committed By: pooka Date: Tue Aug 4 23:31:57 UTC 2009 Modified Files: src/sys/uvm: uvm_bio.c uvm_vnode.c Log Message: uvm_vnp_zerorange() logically and by implementation more a part of ubc than uvm_vnode, so move it over. To generate a diff of this

CVS commit: src/sys/uvm

2009-08-02 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sun Aug 2 16:03:47 UTC 2009 Modified Files: src/sys/uvm: uvm_mremap.c Log Message: - fix extend of unexistent mapping. the problem reported by Nicolas Joly on current-us...@. - check our reserved entry a little more strictly. -

CVS commit: src/sys/uvm

2009-08-02 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sun Aug 2 16:07:34 UTC 2009 Modified Files: src/sys/uvm: uvm_mremap.c Log Message: - don't reuse a variable for different purposes. - KNF a bit. To generate a diff of this commit: cvs rdiff -u -r1.14 -r1.15

CVS commit: src/sys/uvm

2009-08-01 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sat Aug 1 15:30:33 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: _uvm_map_sanity: fix a race which causes stale hint. To generate a diff of this commit: cvs rdiff -u -r1.271 -r1.272 src/sys/uvm/uvm_map.c Please

CVS commit: src/sys/uvm

2009-08-01 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sat Aug 1 15:32:02 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: _uvm_tree_sanity: fix an assertion. To generate a diff of this commit: cvs rdiff -u -r1.272 -r1.273 src/sys/uvm/uvm_map.c Please note that diffs

CVS commit: src/sys/uvm

2009-08-01 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sat Aug 1 15:36:07 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c Log Message: don't call uvm_map_check with map unlocked. To generate a diff of this commit: cvs rdiff -u -r1.273 -r1.274 src/sys/uvm/uvm_map.c Please note that

CVS commit: src/sys/uvm

2009-08-01 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sat Aug 1 16:35:51 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c uvm_map.h Log Message: - uvm_map_extract: update map-size correctly for !UVM_EXTRACT_CONTIG. - uvm_map_extract: panic on zero-sized entries. - make uvm_map_replace

CVS commit: src/sys/uvm

2009-07-19 Thread KIYOHARA Takashi
Module Name:src Committed By: kiyohara Date: Mon Jul 20 03:51:42 UTC 2009 Modified Files: src/sys/uvm: uvm_emap.c uvm_param.h Log Message: Globalize uvm_emap_size. It use to calculate size of kernel page table.

CVS commit: src/sys/uvm

2009-06-14 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sun Jun 14 21:36:03 UTC 2009 Modified Files: src/sys/uvm: uvm_anon.h Log Message: change the order of members of vm_anon for better packing. To generate a diff of this commit: cvs rdiff -u -r1.25 -r1.26 src/sys/uvm/uvm_anon.h

CVS commit: src/sys/uvm

2009-06-09 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Wed Jun 10 01:55:33 UTC 2009 Modified Files: src/sys/uvm: uvm_map.c uvm_map.h uvm_mmap.c Log Message: on MADV_WILLNEED, start prefetching backing object's pages. To generate a diff of this commit: cvs rdiff -u -r1.270 -r1.271

CVS commit: src/sys/uvm

2009-05-29 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Sat May 30 04:26:16 UTC 2009 Modified Files: src/sys/uvm: uvm_mmap.c Log Message: wrap long lines. To generate a diff of this commit: cvs rdiff -u -r1.128 -r1.129 src/sys/uvm/uvm_mmap.c Please note that diffs are not public

CVS commit: src/sys/uvm

2009-04-23 Thread Christoph Egger
Module Name:src Committed By: cegger Date: Thu Apr 23 06:22:01 UTC 2009 Modified Files: src/sys/uvm: uvm_pmap.h Log Message: use top-most bits for PMAP_MD_MASK instead something in the middle. per request from christos@ To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/uvm

2009-04-18 Thread Christoph Egger
Module Name:src Committed By: cegger Date: Sat Apr 18 08:48:47 UTC 2009 Modified Files: src/sys/uvm: uvm_pmap.h Log Message: Introduce PMAP_MD_MASK. Reserves PMAP bits for use in MD code. Presented on tech-kern@, port-i386@ and port-amd64@ ok ad@ To generate a diff of

CVS commit: src/sys/uvm

2009-03-30 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Mon Mar 30 16:36:37 UTC 2009 Modified Files: src/sys/uvm: uvm_extern.h uvm_pager.c Log Message: g/c uvm_aiobuf_pool. To generate a diff of this commit: cvs rdiff -u -r1.153 -r1.154 src/sys/uvm/uvm_extern.h cvs rdiff -u -r1.94

CVS commit: src/sys/uvm

2009-03-28 Thread Mindaugas Rasiukevicius
Module Name:src Committed By: rmind Date: Sat Mar 28 21:45:55 UTC 2009 Modified Files: src/sys/uvm: uvm_amap.c Log Message: Convert some panic() checks to KASSERT()s. This code is stable and there is no reason to enforce checks. To generate a diff of this commit: cvs

<    2   3   4   5   6   7